OSDN Git Service

Merge branch 'master' of yujiro_kaeko@git.sourceforge.jp:/gitroot/oca1/test.git
[oca1/test.git] / USB_Keybord_MAX3421E / src / SPI_controler.cpp
1 /*
2  Produced by NSL Core(version=20110614), IP ARCH, Inc. Wed Aug 10 21:05:17 2011
3  Licensed to :LIMITED EVALUATION USER:
4 */
5 /*
6  EVALUATION COPY!   DO NOT USE ANY PART OF THIS FILE FOR COMMERCIAL PRODUCTS. 
7 */
8 #include <systemc.h>
9 template<typename T> int _nsl_readmem(T array[], const char *file, int start, int end, int sft) ;
10
11 SC_MODULE( spi_controler ) {
12 sc_in<bool> p_reset, m_clock;
13  sc_in <sc_uint<8> >  send_data;
14 sc_out <sc_uint<8> > resv_data;
15 sc_in<bool> send;
16 sc_in<bool> read_MOSI;
17 sc_in<bool> write_MOSO;
18   sc_signal<sc_uint<8> > output_data;
19   sc_signal<sc_uint<8> > input_data;
20   sc_signal<sc_uint<1> > work_flg;
21
22 void _sc_method_output_data() {
23
24 }
25 void _sc_method_input_data() {
26
27 }
28 void _sc_method_work_flg() {
29
30 }
31     SC_CTOR( spi_controler )
32  : 
33   output_data("output_data"),
34   input_data("input_data"),
35   work_flg("work_flg"),
36   send_data("send_data"),
37   resv_data("resv_data"),
38   p_reset("p_reset"),
39   m_clock("m_clock")
40      {
41 };
42 };
43 /*
44  Produced by NSL Core(version=20110614), IP ARCH, Inc. Wed Aug 10 21:05:17 2011
45  Licensed to :LIMITED EVALUATION USER:
46 */