2 Produced by NSL Core(version=20101103), IP ARCH, Inc. Wed Aug 10 17:45:54 2011
\r
3 Licensed to :LIMITED EVALUATION USER:
\r
8 `default_nettype none
\r
11 //synthesis translate_off
\r
14 parameter tPD=(tCYC/10);
\r
18 reg [7:0] send_data;
\r
19 wire [7:0] resv_data;
\r
24 spi_controler spi_controler_instance(
\r
27 .send_data(send_data),
\r
28 .resv_data(resv_data),
\r
30 .read_MOSI(read_MOSI),
\r
31 .write_MOSO(write_MOSO)
\r
34 initial forever #(tCYC/2) m_clock = ~m_clock;
\r
37 $dumpfile("spi_controler.vcd");
\r
38 $dumpvars(0,spi_controler_instance);
\r
51 //synthesis translate_on
\r