OSDN Git Service

VGA System Complete
[oca1/test.git] / VGADisplay / Verilog / from_ctrl.v
1 /*\r
2  Produced by NSL Core(version=20110302), IP ARCH, Inc. Sun Dec 25 19:48:57 2011\r
3  Licensed to :LIMITED EVALUATION USER:\r
4 */\r
5 \r
6 module font_rom ( p_reset , m_clock , i_code_num , o_font_data , fi_font_read );\r
7   input p_reset, m_clock;\r
8   input [7:0] i_code_num;\r
9   output [63:0] o_font_data;\r
10   input fi_font_read;\r
11   reg [7:0] fmem [0:2047];\r
12   wire [7:0] _net_0;\r
13   wire [7:0] _net_1;\r
14   wire [7:0] _net_2;\r
15   wire [7:0] _net_3;\r
16   wire [7:0] _net_4;\r
17   wire [7:0] _net_5;\r
18   wire [7:0] _net_6;\r
19   wire [7:0] _net_7;\r
20 \r
21    assign  _net_0 = fmem[{i_code_num,3'b000}];\r
22    assign  _net_1 = fmem[{i_code_num,3'b001}];\r
23    assign  _net_2 = fmem[{i_code_num,3'b010}];\r
24    assign  _net_3 = fmem[{i_code_num,3'b011}];\r
25    assign  _net_4 = fmem[{i_code_num,3'b100}];\r
26    assign  _net_5 = fmem[{i_code_num,3'b101}];\r
27    assign  _net_6 = fmem[{i_code_num,3'b110}];\r
28    assign  _net_7 = fmem[{i_code_num,3'b111}];\r
29    assign  o_font_data = {_net_7,_net_6,_net_5,_net_4,_net_3,_net_2,_net_1,_net_0};\r
30 initial begin\r
31     fmem[0] <= 8'b00000000;\r
32     fmem[1] <= 8'b00000000;\r
33     fmem[2] <= 8'b00000000;\r
34     fmem[3] <= 8'b00000000;\r
35     fmem[4] <= 8'b00000000;\r
36     fmem[5] <= 8'b00000000;\r
37     fmem[6] <= 8'b00000000;\r
38     fmem[7] <= 8'b00000000;\r
39     fmem[8] <= 8'b00111100;\r
40     fmem[9] <= 8'b01000010;\r
41     fmem[10] <= 8'b00101101;\r
42     fmem[11] <= 8'b01100001;\r
43     fmem[12] <= 8'b01100001;\r
44     fmem[13] <= 8'b00101101;\r
45     fmem[14] <= 8'b01000010;\r
46     fmem[15] <= 8'b00111100;\r
47     fmem[16] <= 8'b00111100;\r
48     fmem[17] <= 8'b01111110;\r
49     fmem[18] <= 8'b01010011;\r
50     fmem[19] <= 8'b00011111;\r
51     fmem[20] <= 8'b00011111;\r
52     fmem[21] <= 8'b01010011;\r
53     fmem[22] <= 8'b01111110;\r
54     fmem[23] <= 8'b00111100;\r
55     fmem[24] <= 8'b00000000;\r
56     fmem[25] <= 8'b00001110;\r
57     fmem[26] <= 8'b00011111;\r
58     fmem[27] <= 8'b00111111;\r
59     fmem[28] <= 8'b01111110;\r
60     fmem[29] <= 8'b00111111;\r
61     fmem[30] <= 8'b00011111;\r
62     fmem[31] <= 8'b00001110;\r
63     fmem[32] <= 8'b00000000;\r
64     fmem[33] <= 8'b00001000;\r
65     fmem[34] <= 8'b00011100;\r
66     fmem[35] <= 8'b00111110;\r
67     fmem[36] <= 8'b01111111;\r
68     fmem[37] <= 8'b00111110;\r
69     fmem[38] <= 8'b00011100;\r
70     fmem[39] <= 8'b00001000;\r
71     fmem[40] <= 8'b00000000;\r
72     fmem[41] <= 8'b00011000;\r
73     fmem[42] <= 8'b00111010;\r
74     fmem[43] <= 8'b00111111;\r
75     fmem[44] <= 8'b01011111;\r
76     fmem[45] <= 8'b00111111;\r
77     fmem[46] <= 8'b00111010;\r
78     fmem[47] <= 8'b00011000;\r
79     fmem[48] <= 8'b00000000;\r
80     fmem[49] <= 8'b00011000;\r
81     fmem[50] <= 8'b00111100;\r
82     fmem[51] <= 8'b00111110;\r
83     fmem[52] <= 8'b01011111;\r
84     fmem[53] <= 8'b00111110;\r
85     fmem[54] <= 8'b00111100;\r
86     fmem[55] <= 8'b00011000;\r
87     fmem[56] <= 8'b00000000;\r
88     fmem[57] <= 8'b00000000;\r
89     fmem[58] <= 8'b00011000;\r
90     fmem[59] <= 8'b00111100;\r
91     fmem[60] <= 8'b00111100;\r
92     fmem[61] <= 8'b00011000;\r
93     fmem[62] <= 8'b00000000;\r
94     fmem[63] <= 8'b00000000;\r
95     fmem[64] <= 8'b01111111;\r
96     fmem[65] <= 8'b01111111;\r
97     fmem[66] <= 8'b01100111;\r
98     fmem[67] <= 8'b01000011;\r
99     fmem[68] <= 8'b01000011;\r
100     fmem[69] <= 8'b01100111;\r
101     fmem[70] <= 8'b01111111;\r
102     fmem[71] <= 8'b01111111;\r
103     fmem[72] <= 8'b00000000;\r
104     fmem[73] <= 8'b00011000;\r
105     fmem[74] <= 8'b00111100;\r
106     fmem[75] <= 8'b00100100;\r
107     fmem[76] <= 8'b00100100;\r
108     fmem[77] <= 8'b00111100;\r
109     fmem[78] <= 8'b00011000;\r
110     fmem[79] <= 8'b00000000;\r
111     fmem[80] <= 8'b01111111;\r
112     fmem[81] <= 8'b01100111;\r
113     fmem[82] <= 8'b01000011;\r
114     fmem[83] <= 8'b01011011;\r
115     fmem[84] <= 8'b01011011;\r
116     fmem[85] <= 8'b01000011;\r
117     fmem[86] <= 8'b01100111;\r
118     fmem[87] <= 8'b01111111;\r
119     fmem[88] <= 8'b00000000;\r
120     fmem[89] <= 8'b00111000;\r
121     fmem[90] <= 8'b01111100;\r
122     fmem[91] <= 8'b01000100;\r
123     fmem[92] <= 8'b01000100;\r
124     fmem[93] <= 8'b01111101;\r
125     fmem[94] <= 8'b00111111;\r
126     fmem[95] <= 8'b00000011;\r
127     fmem[96] <= 8'b00000000;\r
128     fmem[97] <= 8'b00001110;\r
129     fmem[98] <= 8'b01011111;\r
130     fmem[99] <= 8'b01110001;\r
131     fmem[100] <= 8'b01110001;\r
132     fmem[101] <= 8'b01011111;\r
133     fmem[102] <= 8'b00001110;\r
134     fmem[103] <= 8'b00000000;\r
135     fmem[104] <= 8'b00000000;\r
136     fmem[105] <= 8'b00000000;\r
137     fmem[106] <= 8'b01000000;\r
138     fmem[107] <= 8'b01000000;\r
139     fmem[108] <= 8'b01111111;\r
140     fmem[109] <= 8'b00000110;\r
141     fmem[110] <= 8'b00011100;\r
142     fmem[111] <= 8'b00000000;\r
143     fmem[112] <= 8'b00000000;\r
144     fmem[113] <= 8'b00110000;\r
145     fmem[114] <= 8'b00110000;\r
146     fmem[115] <= 8'b00011111;\r
147     fmem[116] <= 8'b00000101;\r
148     fmem[117] <= 8'b01001010;\r
149     fmem[118] <= 8'b01001010;\r
150     fmem[119] <= 8'b01111100;\r
151     fmem[120] <= 8'b01001000;\r
152     fmem[121] <= 8'b01101011;\r
153     fmem[122] <= 8'b00111110;\r
154     fmem[123] <= 8'b01100100;\r
155     fmem[124] <= 8'b00100111;\r
156     fmem[125] <= 8'b01111100;\r
157     fmem[126] <= 8'b01010110;\r
158     fmem[127] <= 8'b00010010;\r
159     fmem[128] <= 8'b00000000;\r
160     fmem[129] <= 8'b01111111;\r
161     fmem[130] <= 8'b00111110;\r
162     fmem[131] <= 8'b00111110;\r
163     fmem[132] <= 8'b00011100;\r
164     fmem[133] <= 8'b00011100;\r
165     fmem[134] <= 8'b00001000;\r
166     fmem[135] <= 8'b00001000;\r
167     fmem[136] <= 8'b00000000;\r
168     fmem[137] <= 8'b00001000;\r
169     fmem[138] <= 8'b00001000;\r
170     fmem[139] <= 8'b00011100;\r
171     fmem[140] <= 8'b00011100;\r
172     fmem[141] <= 8'b00111110;\r
173     fmem[142] <= 8'b00111110;\r
174     fmem[143] <= 8'b01111111;\r
175     fmem[144] <= 8'b00000000;\r
176     fmem[145] <= 8'b00100100;\r
177     fmem[146] <= 8'b01100110;\r
178     fmem[147] <= 8'b01111111;\r
179     fmem[148] <= 8'b01111111;\r
180     fmem[149] <= 8'b01100110;\r
181     fmem[150] <= 8'b00100100;\r
182     fmem[151] <= 8'b00000000;\r
183     fmem[152] <= 8'b00000000;\r
184     fmem[153] <= 8'b00000000;\r
185     fmem[154] <= 8'b01011111;\r
186     fmem[155] <= 8'b01011111;\r
187     fmem[156] <= 8'b00000000;\r
188     fmem[157] <= 8'b01011111;\r
189     fmem[158] <= 8'b01011111;\r
190     fmem[159] <= 8'b00000000;\r
191     fmem[160] <= 8'b00000000;\r
192     fmem[161] <= 8'b00000110;\r
193     fmem[162] <= 8'b00001111;\r
194     fmem[163] <= 8'b01001001;\r
195     fmem[164] <= 8'b01111111;\r
196     fmem[165] <= 8'b00000001;\r
197     fmem[166] <= 8'b01111111;\r
198     fmem[167] <= 8'b00000001;\r
199     fmem[168] <= 8'b00000000;\r
200     fmem[169] <= 8'b01001010;\r
201     fmem[170] <= 8'b01011111;\r
202     fmem[171] <= 8'b00110111;\r
203     fmem[172] <= 8'b01101101;\r
204     fmem[173] <= 8'b01111011;\r
205     fmem[174] <= 8'b01010010;\r
206     fmem[175] <= 8'b00000000;\r
207     fmem[176] <= 8'b00000000;\r
208     fmem[177] <= 8'b00111000;\r
209     fmem[178] <= 8'b00111000;\r
210     fmem[179] <= 8'b00111000;\r
211     fmem[180] <= 8'b00111000;\r
212     fmem[181] <= 8'b00111000;\r
213     fmem[182] <= 8'b00111000;\r
214     fmem[183] <= 8'b00111000;\r
215     fmem[184] <= 8'b00000000;\r
216     fmem[185] <= 8'b00010100;\r
217     fmem[186] <= 8'b00110110;\r
218     fmem[187] <= 8'b01111111;\r
219     fmem[188] <= 8'b01111111;\r
220     fmem[189] <= 8'b00110110;\r
221     fmem[190] <= 8'b00010100;\r
222     fmem[191] <= 8'b00000000;\r
223     fmem[192] <= 8'b00000000;\r
224     fmem[193] <= 8'b00001100;\r
225     fmem[194] <= 8'b00000110;\r
226     fmem[195] <= 8'b01111111;\r
227     fmem[196] <= 8'b01111111;\r
228     fmem[197] <= 8'b00000110;\r
229     fmem[198] <= 8'b00001100;\r
230     fmem[199] <= 8'b00000000;\r
231     fmem[200] <= 8'b00000000;\r
232     fmem[201] <= 8'b00011000;\r
233     fmem[202] <= 8'b00110000;\r
234     fmem[203] <= 8'b01111111;\r
235     fmem[204] <= 8'b01111111;\r
236     fmem[205] <= 8'b00110000;\r
237     fmem[206] <= 8'b00011000;\r
238     fmem[207] <= 8'b00000000;\r
239     fmem[208] <= 8'b00000000;\r
240     fmem[209] <= 8'b00011000;\r
241     fmem[210] <= 8'b00011000;\r
242     fmem[211] <= 8'b00011000;\r
243     fmem[212] <= 8'b01011010;\r
244     fmem[213] <= 8'b01111110;\r
245     fmem[214] <= 8'b00111100;\r
246     fmem[215] <= 8'b00011000;\r
247     fmem[216] <= 8'b00000000;\r
248     fmem[217] <= 8'b00011000;\r
249     fmem[218] <= 8'b00111100;\r
250     fmem[219] <= 8'b01111110;\r
251     fmem[220] <= 8'b01011010;\r
252     fmem[221] <= 8'b00011000;\r
253     fmem[222] <= 8'b00011000;\r
254     fmem[223] <= 8'b00011000;\r
255     fmem[224] <= 8'b00000000;\r
256     fmem[225] <= 8'b00111100;\r
257     fmem[226] <= 8'b00111100;\r
258     fmem[227] <= 8'b00110000;\r
259     fmem[228] <= 8'b00110000;\r
260     fmem[229] <= 8'b00110000;\r
261     fmem[230] <= 8'b00110000;\r
262     fmem[231] <= 8'b00110000;\r
263     fmem[232] <= 8'b00000000;\r
264     fmem[233] <= 8'b00011000;\r
265     fmem[234] <= 8'b00111100;\r
266     fmem[235] <= 8'b01111110;\r
267     fmem[236] <= 8'b00011000;\r
268     fmem[237] <= 8'b01111110;\r
269     fmem[238] <= 8'b00111100;\r
270     fmem[239] <= 8'b00011000;\r
271     fmem[240] <= 8'b00000000;\r
272     fmem[241] <= 8'b01000000;\r
273     fmem[242] <= 8'b01110000;\r
274     fmem[243] <= 8'b01111100;\r
275     fmem[244] <= 8'b01111111;\r
276     fmem[245] <= 8'b01111100;\r
277     fmem[246] <= 8'b01110000;\r
278     fmem[247] <= 8'b01000000;\r
279     fmem[248] <= 8'b00000000;\r
280     fmem[249] <= 8'b00000001;\r
281     fmem[250] <= 8'b00000111;\r
282     fmem[251] <= 8'b00011111;\r
283     fmem[252] <= 8'b01111111;\r
284     fmem[253] <= 8'b00011111;\r
285     fmem[254] <= 8'b00000111;\r
286     fmem[255] <= 8'b00000001;\r
287     fmem[256] <= 8'b00000000;\r
288     fmem[257] <= 8'b00000000;\r
289     fmem[258] <= 8'b00000000;\r
290     fmem[259] <= 8'b00000000;\r
291     fmem[260] <= 8'b00000000;\r
292     fmem[261] <= 8'b00000000;\r
293     fmem[262] <= 8'b00000000;\r
294     fmem[263] <= 8'b00000000;\r
295     fmem[264] <= 8'b00000000;\r
296     fmem[265] <= 8'b00000000;\r
297     fmem[266] <= 8'b00000000;\r
298     fmem[267] <= 8'b01011111;\r
299     fmem[268] <= 8'b01011111;\r
300     fmem[269] <= 8'b00000000;\r
301     fmem[270] <= 8'b00000000;\r
302     fmem[271] <= 8'b00000000;\r
303     fmem[272] <= 8'b00000000;\r
304     fmem[273] <= 8'b00000000;\r
305     fmem[274] <= 8'b00000011;\r
306     fmem[275] <= 8'b00000111;\r
307     fmem[276] <= 8'b00000000;\r
308     fmem[277] <= 8'b00000111;\r
309     fmem[278] <= 8'b00000011;\r
310     fmem[279] <= 8'b00000000;\r
311     fmem[280] <= 8'b00000000;\r
312     fmem[281] <= 8'b00010000;\r
313     fmem[282] <= 8'b01110100;\r
314     fmem[283] <= 8'b00011100;\r
315     fmem[284] <= 8'b01110111;\r
316     fmem[285] <= 8'b00011100;\r
317     fmem[286] <= 8'b00010111;\r
318     fmem[287] <= 8'b00000100;\r
319     fmem[288] <= 8'b00000000;\r
320     fmem[289] <= 8'b00100100;\r
321     fmem[290] <= 8'b00101110;\r
322     fmem[291] <= 8'b00101010;\r
323     fmem[292] <= 8'b01111111;\r
324     fmem[293] <= 8'b00101010;\r
325     fmem[294] <= 8'b00111010;\r
326     fmem[295] <= 8'b00010000;\r
327     fmem[296] <= 8'b00000000;\r
328     fmem[297] <= 8'b01001100;\r
329     fmem[298] <= 8'b01101010;\r
330     fmem[299] <= 8'b01110110;\r
331     fmem[300] <= 8'b00011010;\r
332     fmem[301] <= 8'b01101010;\r
333     fmem[302] <= 8'b01010110;\r
334     fmem[303] <= 8'b00110011;\r
335     fmem[304] <= 8'b00000000;\r
336     fmem[305] <= 8'b00110000;\r
337     fmem[306] <= 8'b01111010;\r
338     fmem[307] <= 8'b01001111;\r
339     fmem[308] <= 8'b01011101;\r
340     fmem[309] <= 8'b00110111;\r
341     fmem[310] <= 8'b01111010;\r
342     fmem[311] <= 8'b01001000;\r
343     fmem[312] <= 8'b00000000;\r
344     fmem[313] <= 8'b00000000;\r
345     fmem[314] <= 8'b00000100;\r
346     fmem[315] <= 8'b00000111;\r
347     fmem[316] <= 8'b00000011;\r
348     fmem[317] <= 8'b00000000;\r
349     fmem[318] <= 8'b00000000;\r
350     fmem[319] <= 8'b00000000;\r
351     fmem[320] <= 8'b00000000;\r
352     fmem[321] <= 8'b00000000;\r
353     fmem[322] <= 8'b00000000;\r
354     fmem[323] <= 8'b00011100;\r
355     fmem[324] <= 8'b00111110;\r
356     fmem[325] <= 8'b01100011;\r
357     fmem[326] <= 8'b01000001;\r
358     fmem[327] <= 8'b00000000;\r
359     fmem[328] <= 8'b00000000;\r
360     fmem[329] <= 8'b00000000;\r
361     fmem[330] <= 8'b01000001;\r
362     fmem[331] <= 8'b01100011;\r
363     fmem[332] <= 8'b00111110;\r
364     fmem[333] <= 8'b00011100;\r
365     fmem[334] <= 8'b00000000;\r
366     fmem[335] <= 8'b00000000;\r
367     fmem[336] <= 8'b00000000;\r
368     fmem[337] <= 8'b00001000;\r
369     fmem[338] <= 8'b00101010;\r
370     fmem[339] <= 8'b00111110;\r
371     fmem[340] <= 8'b00011100;\r
372     fmem[341] <= 8'b00111110;\r
373     fmem[342] <= 8'b00101010;\r
374     fmem[343] <= 8'b00001000;\r
375     fmem[344] <= 8'b00000000;\r
376     fmem[345] <= 8'b00001000;\r
377     fmem[346] <= 8'b00001000;\r
378     fmem[347] <= 8'b00111110;\r
379     fmem[348] <= 8'b00111110;\r
380     fmem[349] <= 8'b00001000;\r
381     fmem[350] <= 8'b00001000;\r
382     fmem[351] <= 8'b00000000;\r
383     fmem[352] <= 8'b00000000;\r
384     fmem[353] <= 8'b00000000;\r
385     fmem[354] <= 8'b00000000;\r
386     fmem[355] <= 8'b01100000;\r
387     fmem[356] <= 8'b01100000;\r
388     fmem[357] <= 8'b00000000;\r
389     fmem[358] <= 8'b00000000;\r
390     fmem[359] <= 8'b00000000;\r
391     fmem[360] <= 8'b00000000;\r
392     fmem[361] <= 8'b00001000;\r
393     fmem[362] <= 8'b00001000;\r
394     fmem[363] <= 8'b00001000;\r
395     fmem[364] <= 8'b00001000;\r
396     fmem[365] <= 8'b00001000;\r
397     fmem[366] <= 8'b00001000;\r
398     fmem[367] <= 8'b00000000;\r
399     fmem[368] <= 8'b00000000;\r
400     fmem[369] <= 8'b00000000;\r
401     fmem[370] <= 8'b00000000;\r
402     fmem[371] <= 8'b01100000;\r
403     fmem[372] <= 8'b01100000;\r
404     fmem[373] <= 8'b00000000;\r
405     fmem[374] <= 8'b00000000;\r
406     fmem[375] <= 8'b00000000;\r
407     fmem[376] <= 8'b00000000;\r
408     fmem[377] <= 8'b01100000;\r
409     fmem[378] <= 8'b00110000;\r
410     fmem[379] <= 8'b00011000;\r
411     fmem[380] <= 8'b00001100;\r
412     fmem[381] <= 8'b00000110;\r
413     fmem[382] <= 8'b00000011;\r
414     fmem[383] <= 8'b00000001;\r
415     fmem[384] <= 8'b00000000;\r
416     fmem[385] <= 8'b00011100;\r
417     fmem[386] <= 8'b00111110;\r
418     fmem[387] <= 8'b01100001;\r
419     fmem[388] <= 8'b01000011;\r
420     fmem[389] <= 8'b00111110;\r
421     fmem[390] <= 8'b00011100;\r
422     fmem[391] <= 8'b00000000;\r
423     fmem[392] <= 8'b00000000;\r
424     fmem[393] <= 8'b00000000;\r
425     fmem[394] <= 8'b01000100;\r
426     fmem[395] <= 8'b01111111;\r
427     fmem[396] <= 8'b01111111;\r
428     fmem[397] <= 8'b01000000;\r
429     fmem[398] <= 8'b00000000;\r
430     fmem[399] <= 8'b00000000;\r
431     fmem[400] <= 8'b00000000;\r
432     fmem[401] <= 8'b01000110;\r
433     fmem[402] <= 8'b01100111;\r
434     fmem[403] <= 8'b01110001;\r
435     fmem[404] <= 8'b01011001;\r
436     fmem[405] <= 8'b01001111;\r
437     fmem[406] <= 8'b01100110;\r
438     fmem[407] <= 8'b00000000;\r
439     fmem[408] <= 8'b00000000;\r
440     fmem[409] <= 8'b00100010;\r
441     fmem[410] <= 8'b01100011;\r
442     fmem[411] <= 8'b01001001;\r
443     fmem[412] <= 8'b01001101;\r
444     fmem[413] <= 8'b01111111;\r
445     fmem[414] <= 8'b00110010;\r
446     fmem[415] <= 8'b00000000;\r
447     fmem[416] <= 8'b00000000;\r
448     fmem[417] <= 8'b00011000;\r
449     fmem[418] <= 8'b00011100;\r
450     fmem[419] <= 8'b01010010;\r
451     fmem[420] <= 8'b01111111;\r
452     fmem[421] <= 8'b01111111;\r
453     fmem[422] <= 8'b01010000;\r
454     fmem[423] <= 8'b00000000;\r
455     fmem[424] <= 8'b00000000;\r
456     fmem[425] <= 8'b00101111;\r
457     fmem[426] <= 8'b01101111;\r
458     fmem[427] <= 8'b01000101;\r
459     fmem[428] <= 8'b01000101;\r
460     fmem[429] <= 8'b01111101;\r
461     fmem[430] <= 8'b00111001;\r
462     fmem[431] <= 8'b00000000;\r
463     fmem[432] <= 8'b00000000;\r
464     fmem[433] <= 8'b00111100;\r
465     fmem[434] <= 8'b01111110;\r
466     fmem[435] <= 8'b01001011;\r
467     fmem[436] <= 8'b01001001;\r
468     fmem[437] <= 8'b01111001;\r
469     fmem[438] <= 8'b00110000;\r
470     fmem[439] <= 8'b00000000;\r
471     fmem[440] <= 8'b00000000;\r
472     fmem[441] <= 8'b00000111;\r
473     fmem[442] <= 8'b01000011;\r
474     fmem[443] <= 8'b01110001;\r
475     fmem[444] <= 8'b01111101;\r
476     fmem[445] <= 8'b00001111;\r
477     fmem[446] <= 8'b00000011;\r
478     fmem[447] <= 8'b00000000;\r
479     fmem[448] <= 8'b00000000;\r
480     fmem[449] <= 8'b00110110;\r
481     fmem[450] <= 8'b01111111;\r
482     fmem[451] <= 8'b01001101;\r
483     fmem[452] <= 8'b01011001;\r
484     fmem[453] <= 8'b01111111;\r
485     fmem[454] <= 8'b00110110;\r
486     fmem[455] <= 8'b00000000;\r
487     fmem[456] <= 8'b00000000;\r
488     fmem[457] <= 8'b00000110;\r
489     fmem[458] <= 8'b01001111;\r
490     fmem[459] <= 8'b01001001;\r
491     fmem[460] <= 8'b01101001;\r
492     fmem[461] <= 8'b00111111;\r
493     fmem[462] <= 8'b00011110;\r
494     fmem[463] <= 8'b00000000;\r
495     fmem[464] <= 8'b00000000;\r
496     fmem[465] <= 8'b00000000;\r
497     fmem[466] <= 8'b00000000;\r
498     fmem[467] <= 8'b01100110;\r
499     fmem[468] <= 8'b01100110;\r
500     fmem[469] <= 8'b00000000;\r
501     fmem[470] <= 8'b00000000;\r
502     fmem[471] <= 8'b00000000;\r
503     fmem[472] <= 8'b00000000;\r
504     fmem[473] <= 8'b00000000;\r
505     fmem[474] <= 8'b00000000;\r
506     fmem[475] <= 8'b01100110;\r
507     fmem[476] <= 8'b01100110;\r
508     fmem[477] <= 8'b00000000;\r
509     fmem[478] <= 8'b00000000;\r
510     fmem[479] <= 8'b00000000;\r
511     fmem[480] <= 8'b00000000;\r
512     fmem[481] <= 8'b00000000;\r
513     fmem[482] <= 8'b00001000;\r
514     fmem[483] <= 8'b00011100;\r
515     fmem[484] <= 8'b00110110;\r
516     fmem[485] <= 8'b01100011;\r
517     fmem[486] <= 8'b01000001;\r
518     fmem[487] <= 8'b00000000;\r
519     fmem[488] <= 8'b00000000;\r
520     fmem[489] <= 8'b00010100;\r
521     fmem[490] <= 8'b00010100;\r
522     fmem[491] <= 8'b00010100;\r
523     fmem[492] <= 8'b00010100;\r
524     fmem[493] <= 8'b00010100;\r
525     fmem[494] <= 8'b00010100;\r
526     fmem[495] <= 8'b00000000;\r
527     fmem[496] <= 8'b00000000;\r
528     fmem[497] <= 8'b00000000;\r
529     fmem[498] <= 8'b01000001;\r
530     fmem[499] <= 8'b01100011;\r
531     fmem[500] <= 8'b00110110;\r
532     fmem[501] <= 8'b00011100;\r
533     fmem[502] <= 8'b00001000;\r
534     fmem[503] <= 8'b00000000;\r
535     fmem[504] <= 8'b00000000;\r
536     fmem[505] <= 8'b00000010;\r
537     fmem[506] <= 8'b00000111;\r
538     fmem[507] <= 8'b01010001;\r
539     fmem[508] <= 8'b01011001;\r
540     fmem[509] <= 8'b00001111;\r
541     fmem[510] <= 8'b00000110;\r
542     fmem[511] <= 8'b00000000;\r
543     fmem[512] <= 8'b00000000;\r
544     fmem[513] <= 8'b00111110;\r
545     fmem[514] <= 8'b01000001;\r
546     fmem[515] <= 8'b01011101;\r
547     fmem[516] <= 8'b01010101;\r
548     fmem[517] <= 8'b01011101;\r
549     fmem[518] <= 8'b01010001;\r
550     fmem[519] <= 8'b00011110;\r
551     fmem[520] <= 8'b00000000;\r
552     fmem[521] <= 8'b01000000;\r
553     fmem[522] <= 8'b01110000;\r
554     fmem[523] <= 8'b00011101;\r
555     fmem[524] <= 8'b00010111;\r
556     fmem[525] <= 8'b00011111;\r
557     fmem[526] <= 8'b01111000;\r
558     fmem[527] <= 8'b01100000;\r
559     fmem[528] <= 8'b00000000;\r
560     fmem[529] <= 8'b01000001;\r
561     fmem[530] <= 8'b01111111;\r
562     fmem[531] <= 8'b01111111;\r
563     fmem[532] <= 8'b01001001;\r
564     fmem[533] <= 8'b01001111;\r
565     fmem[534] <= 8'b01111110;\r
566     fmem[535] <= 8'b00110000;\r
567     fmem[536] <= 8'b00000000;\r
568     fmem[537] <= 8'b00011100;\r
569     fmem[538] <= 8'b00111110;\r
570     fmem[539] <= 8'b01100011;\r
571     fmem[540] <= 8'b01000001;\r
572     fmem[541] <= 8'b01000001;\r
573     fmem[542] <= 8'b01000010;\r
574     fmem[543] <= 8'b00100111;\r
575     fmem[544] <= 8'b00000000;\r
576     fmem[545] <= 8'b01000001;\r
577     fmem[546] <= 8'b01111111;\r
578     fmem[547] <= 8'b01111111;\r
579     fmem[548] <= 8'b01000001;\r
580     fmem[549] <= 8'b01100011;\r
581     fmem[550] <= 8'b00111110;\r
582     fmem[551] <= 8'b00011100;\r
583     fmem[552] <= 8'b00000000;\r
584     fmem[553] <= 8'b01000001;\r
585     fmem[554] <= 8'b01111111;\r
586     fmem[555] <= 8'b01111111;\r
587     fmem[556] <= 8'b01001001;\r
588     fmem[557] <= 8'b01011101;\r
589     fmem[558] <= 8'b01000001;\r
590     fmem[559] <= 8'b01100011;\r
591     fmem[560] <= 8'b00000000;\r
592     fmem[561] <= 8'b01000001;\r
593     fmem[562] <= 8'b01111111;\r
594     fmem[563] <= 8'b01111111;\r
595     fmem[564] <= 8'b01001001;\r
596     fmem[565] <= 8'b00011101;\r
597     fmem[566] <= 8'b00000001;\r
598     fmem[567] <= 8'b00000011;\r
599     fmem[568] <= 8'b00000000;\r
600     fmem[569] <= 8'b00011100;\r
601     fmem[570] <= 8'b00111110;\r
602     fmem[571] <= 8'b01100011;\r
603     fmem[572] <= 8'b01000001;\r
604     fmem[573] <= 8'b01010001;\r
605     fmem[574] <= 8'b01110010;\r
606     fmem[575] <= 8'b01110111;\r
607     fmem[576] <= 8'b00000000;\r
608     fmem[577] <= 8'b01111111;\r
609     fmem[578] <= 8'b01111111;\r
610     fmem[579] <= 8'b00001000;\r
611     fmem[580] <= 8'b00001000;\r
612     fmem[581] <= 8'b01111111;\r
613     fmem[582] <= 8'b01111111;\r
614     fmem[583] <= 8'b00000000;\r
615     fmem[584] <= 8'b00000000;\r
616     fmem[585] <= 8'b00000000;\r
617     fmem[586] <= 8'b01000001;\r
618     fmem[587] <= 8'b01111111;\r
619     fmem[588] <= 8'b01111111;\r
620     fmem[589] <= 8'b01000001;\r
621     fmem[590] <= 8'b00000000;\r
622     fmem[591] <= 8'b00000000;\r
623     fmem[592] <= 8'b00000000;\r
624     fmem[593] <= 8'b00110000;\r
625     fmem[594] <= 8'b01110000;\r
626     fmem[595] <= 8'b01000001;\r
627     fmem[596] <= 8'b01000001;\r
628     fmem[597] <= 8'b01111111;\r
629     fmem[598] <= 8'b00111111;\r
630     fmem[599] <= 8'b00000001;\r
631     fmem[600] <= 8'b00000000;\r
632     fmem[601] <= 8'b01111111;\r
633     fmem[602] <= 8'b01111111;\r
634     fmem[603] <= 8'b00001000;\r
635     fmem[604] <= 8'b00011100;\r
636     fmem[605] <= 8'b01110111;\r
637     fmem[606] <= 8'b01100011;\r
638     fmem[607] <= 8'b01000001;\r
639     fmem[608] <= 8'b00000000;\r
640     fmem[609] <= 8'b01000001;\r
641     fmem[610] <= 8'b01111111;\r
642     fmem[611] <= 8'b01111111;\r
643     fmem[612] <= 8'b01000001;\r
644     fmem[613] <= 8'b01000000;\r
645     fmem[614] <= 8'b01100000;\r
646     fmem[615] <= 8'b01110000;\r
647     fmem[616] <= 8'b00000000;\r
648     fmem[617] <= 8'b01111111;\r
649     fmem[618] <= 8'b01111110;\r
650     fmem[619] <= 8'b00001100;\r
651     fmem[620] <= 8'b00011000;\r
652     fmem[621] <= 8'b00001100;\r
653     fmem[622] <= 8'b01111110;\r
654     fmem[623] <= 8'b01111111;\r
655     fmem[624] <= 8'b00000000;\r
656     fmem[625] <= 8'b01111111;\r
657     fmem[626] <= 8'b01111110;\r
658     fmem[627] <= 8'b00001100;\r
659     fmem[628] <= 8'b00011000;\r
660     fmem[629] <= 8'b00110000;\r
661     fmem[630] <= 8'b01111111;\r
662     fmem[631] <= 8'b01111111;\r
663     fmem[632] <= 8'b00000000;\r
664     fmem[633] <= 8'b00011100;\r
665     fmem[634] <= 8'b00111110;\r
666     fmem[635] <= 8'b01100011;\r
667     fmem[636] <= 8'b01000001;\r
668     fmem[637] <= 8'b01100011;\r
669     fmem[638] <= 8'b00111110;\r
670     fmem[639] <= 8'b00011100;\r
671     fmem[640] <= 8'b00000000;\r
672     fmem[641] <= 8'b01000001;\r
673     fmem[642] <= 8'b01111111;\r
674     fmem[643] <= 8'b01111111;\r
675     fmem[644] <= 8'b01001001;\r
676     fmem[645] <= 8'b00001001;\r
677     fmem[646] <= 8'b00001111;\r
678     fmem[647] <= 8'b00000110;\r
679     fmem[648] <= 8'b00000000;\r
680     fmem[649] <= 8'b00011100;\r
681     fmem[650] <= 8'b00111110;\r
682     fmem[651] <= 8'b01100011;\r
683     fmem[652] <= 8'b01010001;\r
684     fmem[653] <= 8'b01100011;\r
685     fmem[654] <= 8'b00111110;\r
686     fmem[655] <= 8'b00011100;\r
687     fmem[656] <= 8'b00000000;\r
688     fmem[657] <= 8'b01111111;\r
689     fmem[658] <= 8'b01111111;\r
690     fmem[659] <= 8'b00001001;\r
691     fmem[660] <= 8'b00011001;\r
692     fmem[661] <= 8'b01111111;\r
693     fmem[662] <= 8'b01100110;\r
694     fmem[663] <= 8'b01000000;\r
695     fmem[664] <= 8'b00000000;\r
696     fmem[665] <= 8'b01100110;\r
697     fmem[666] <= 8'b01101111;\r
698     fmem[667] <= 8'b01001101;\r
699     fmem[668] <= 8'b01011001;\r
700     fmem[669] <= 8'b01111011;\r
701     fmem[670] <= 8'b00110011;\r
702     fmem[671] <= 8'b00000000;\r
703     fmem[672] <= 8'b00000000;\r
704     fmem[673] <= 8'b00000011;\r
705     fmem[674] <= 8'b01000001;\r
706     fmem[675] <= 8'b01111111;\r
707     fmem[676] <= 8'b01111111;\r
708     fmem[677] <= 8'b01000001;\r
709     fmem[678] <= 8'b00000011;\r
710     fmem[679] <= 8'b00000000;\r
711     fmem[680] <= 8'b00000000;\r
712     fmem[681] <= 8'b00111111;\r
713     fmem[682] <= 8'b01111111;\r
714     fmem[683] <= 8'b01000000;\r
715     fmem[684] <= 8'b01000000;\r
716     fmem[685] <= 8'b01000000;\r
717     fmem[686] <= 8'b01111111;\r
718     fmem[687] <= 8'b00111111;\r
719     fmem[688] <= 8'b00000000;\r
720     fmem[689] <= 8'b00000011;\r
721     fmem[690] <= 8'b00001111;\r
722     fmem[691] <= 8'b00111101;\r
723     fmem[692] <= 8'b01110000;\r
724     fmem[693] <= 8'b00011101;\r
725     fmem[694] <= 8'b00000111;\r
726     fmem[695] <= 8'b00000001;\r
727     fmem[696] <= 8'b00000000;\r
728     fmem[697] <= 8'b00001111;\r
729     fmem[698] <= 8'b01111111;\r
730     fmem[699] <= 8'b00110000;\r
731     fmem[700] <= 8'b00011100;\r
732     fmem[701] <= 8'b00110000;\r
733     fmem[702] <= 8'b01111111;\r
734     fmem[703] <= 8'b00001111;\r
735     fmem[704] <= 8'b00000000;\r
736     fmem[705] <= 8'b01100011;\r
737     fmem[706] <= 8'b01110111;\r
738     fmem[707] <= 8'b00011100;\r
739     fmem[708] <= 8'b00011100;\r
740     fmem[709] <= 8'b01110111;\r
741     fmem[710] <= 8'b01100011;\r
742     fmem[711] <= 8'b00000000;\r
743     fmem[712] <= 8'b00000001;\r
744     fmem[713] <= 8'b00000011;\r
745     fmem[714] <= 8'b01000111;\r
746     fmem[715] <= 8'b01111100;\r
747     fmem[716] <= 8'b01111000;\r
748     fmem[717] <= 8'b01000111;\r
749     fmem[718] <= 8'b00000011;\r
750     fmem[719] <= 8'b00000001;\r
751     fmem[720] <= 8'b00000000;\r
752     fmem[721] <= 8'b01100111;\r
753     fmem[722] <= 8'b01110011;\r
754     fmem[723] <= 8'b01011001;\r
755     fmem[724] <= 8'b01001101;\r
756     fmem[725] <= 8'b01100111;\r
757     fmem[726] <= 8'b01110011;\r
758     fmem[727] <= 8'b00000000;\r
759     fmem[728] <= 8'b00000000;\r
760     fmem[729] <= 8'b00000000;\r
761     fmem[730] <= 8'b00000000;\r
762     fmem[731] <= 8'b01111111;\r
763     fmem[732] <= 8'b01111111;\r
764     fmem[733] <= 8'b01000001;\r
765     fmem[734] <= 8'b01000001;\r
766     fmem[735] <= 8'b00000000;\r
767     fmem[736] <= 8'b00000000;\r
768     fmem[737] <= 8'b00000001;\r
769     fmem[738] <= 8'b00000011;\r
770     fmem[739] <= 8'b00000110;\r
771     fmem[740] <= 8'b00001100;\r
772     fmem[741] <= 8'b00011000;\r
773     fmem[742] <= 8'b00110000;\r
774     fmem[743] <= 8'b01100000;\r
775     fmem[744] <= 8'b00000000;\r
776     fmem[745] <= 8'b00000000;\r
777     fmem[746] <= 8'b01000001;\r
778     fmem[747] <= 8'b01000001;\r
779     fmem[748] <= 8'b01111111;\r
780     fmem[749] <= 8'b01111111;\r
781     fmem[750] <= 8'b00000000;\r
782     fmem[751] <= 8'b00000000;\r
783     fmem[752] <= 8'b00000000;\r
784     fmem[753] <= 8'b00000000;\r
785     fmem[754] <= 8'b00000100;\r
786     fmem[755] <= 8'b00000110;\r
787     fmem[756] <= 8'b00000011;\r
788     fmem[757] <= 8'b00000110;\r
789     fmem[758] <= 8'b00000100;\r
790     fmem[759] <= 8'b00000000;\r
791     fmem[760] <= 8'b00000000;\r
792     fmem[761] <= 8'b00000000;\r
793     fmem[762] <= 8'b00000000;\r
794     fmem[763] <= 8'b00000000;\r
795     fmem[764] <= 8'b00000000;\r
796     fmem[765] <= 8'b00000000;\r
797     fmem[766] <= 8'b00000000;\r
798     fmem[767] <= 8'b00000000;\r
799     fmem[768] <= 8'b00000000;\r
800     fmem[769] <= 8'b00000000;\r
801     fmem[770] <= 8'b00000001;\r
802     fmem[771] <= 8'b00000011;\r
803     fmem[772] <= 8'b00000110;\r
804     fmem[773] <= 8'b00000100;\r
805     fmem[774] <= 8'b00000000;\r
806     fmem[775] <= 8'b00000000;\r
807     fmem[776] <= 8'b00000000;\r
808     fmem[777] <= 8'b01101000;\r
809     fmem[778] <= 8'b01101100;\r
810     fmem[779] <= 8'b01010100;\r
811     fmem[780] <= 8'b01010100;\r
812     fmem[781] <= 8'b00111100;\r
813     fmem[782] <= 8'b01111000;\r
814     fmem[783] <= 8'b01000000;\r
815     fmem[784] <= 8'b00000000;\r
816     fmem[785] <= 8'b01000001;\r
817     fmem[786] <= 8'b01111111;\r
818     fmem[787] <= 8'b00111111;\r
819     fmem[788] <= 8'b01101100;\r
820     fmem[789] <= 8'b01000100;\r
821     fmem[790] <= 8'b01111100;\r
822     fmem[791] <= 8'b00111000;\r
823     fmem[792] <= 8'b00000000;\r
824     fmem[793] <= 8'b00111000;\r
825     fmem[794] <= 8'b01111100;\r
826     fmem[795] <= 8'b01000100;\r
827     fmem[796] <= 8'b01000100;\r
828     fmem[797] <= 8'b01101100;\r
829     fmem[798] <= 8'b00101100;\r
830     fmem[799] <= 8'b00000000;\r
831     fmem[800] <= 8'b00000000;\r
832     fmem[801] <= 8'b00111000;\r
833     fmem[802] <= 8'b01111100;\r
834     fmem[803] <= 8'b01000100;\r
835     fmem[804] <= 8'b01001001;\r
836     fmem[805] <= 8'b00111111;\r
837     fmem[806] <= 8'b01111111;\r
838     fmem[807] <= 8'b01000000;\r
839     fmem[808] <= 8'b00000000;\r
840     fmem[809] <= 8'b00111000;\r
841     fmem[810] <= 8'b01111100;\r
842     fmem[811] <= 8'b01010100;\r
843     fmem[812] <= 8'b01010100;\r
844     fmem[813] <= 8'b01011100;\r
845     fmem[814] <= 8'b01011000;\r
846     fmem[815] <= 8'b00000000;\r
847     fmem[816] <= 8'b00000000;\r
848     fmem[817] <= 8'b00000000;\r
849     fmem[818] <= 8'b01001000;\r
850     fmem[819] <= 8'b01111110;\r
851     fmem[820] <= 8'b01111111;\r
852     fmem[821] <= 8'b01001001;\r
853     fmem[822] <= 8'b00001011;\r
854     fmem[823] <= 8'b00000010;\r
855     fmem[824] <= 8'b00000000;\r
856     fmem[825] <= 8'b01001000;\r
857     fmem[826] <= 8'b01111100;\r
858     fmem[827] <= 8'b00110100;\r
859     fmem[828] <= 8'b00110100;\r
860     fmem[829] <= 8'b00101100;\r
861     fmem[830] <= 8'b01101000;\r
862     fmem[831] <= 8'b01000100;\r
863     fmem[832] <= 8'b00000000;\r
864     fmem[833] <= 8'b01000001;\r
865     fmem[834] <= 8'b01111111;\r
866     fmem[835] <= 8'b01111111;\r
867     fmem[836] <= 8'b00001000;\r
868     fmem[837] <= 8'b00000100;\r
869     fmem[838] <= 8'b01111100;\r
870     fmem[839] <= 8'b01111000;\r
871     fmem[840] <= 8'b00000000;\r
872     fmem[841] <= 8'b00000000;\r
873     fmem[842] <= 8'b01000100;\r
874     fmem[843] <= 8'b01111101;\r
875     fmem[844] <= 8'b01111101;\r
876     fmem[845] <= 8'b01000000;\r
877     fmem[846] <= 8'b00000000;\r
878     fmem[847] <= 8'b00000000;\r
879     fmem[848] <= 8'b00000000;\r
880     fmem[849] <= 8'b01100000;\r
881     fmem[850] <= 8'b01100000;\r
882     fmem[851] <= 8'b00000100;\r
883     fmem[852] <= 8'b01111101;\r
884     fmem[853] <= 8'b01111101;\r
885     fmem[854] <= 8'b00000000;\r
886     fmem[855] <= 8'b00000000;\r
887     fmem[856] <= 8'b00000000;\r
888     fmem[857] <= 8'b01000001;\r
889     fmem[858] <= 8'b01111111;\r
890     fmem[859] <= 8'b01111111;\r
891     fmem[860] <= 8'b00010000;\r
892     fmem[861] <= 8'b01111000;\r
893     fmem[862] <= 8'b01101100;\r
894     fmem[863] <= 8'b01000100;\r
895     fmem[864] <= 8'b00000000;\r
896     fmem[865] <= 8'b00000000;\r
897     fmem[866] <= 8'b01000001;\r
898     fmem[867] <= 8'b01111111;\r
899     fmem[868] <= 8'b01111111;\r
900     fmem[869] <= 8'b01000000;\r
901     fmem[870] <= 8'b00000000;\r
902     fmem[871] <= 8'b00000000;\r
903     fmem[872] <= 8'b00000000;\r
904     fmem[873] <= 8'b01111100;\r
905     fmem[874] <= 8'b01111100;\r
906     fmem[875] <= 8'b00001100;\r
907     fmem[876] <= 8'b01111000;\r
908     fmem[877] <= 8'b00001100;\r
909     fmem[878] <= 8'b01111100;\r
910     fmem[879] <= 8'b01111000;\r
911     fmem[880] <= 8'b00000000;\r
912     fmem[881] <= 8'b01000100;\r
913     fmem[882] <= 8'b01111100;\r
914     fmem[883] <= 8'b01111100;\r
915     fmem[884] <= 8'b00001000;\r
916     fmem[885] <= 8'b00000100;\r
917     fmem[886] <= 8'b01111100;\r
918     fmem[887] <= 8'b01111000;\r
919     fmem[888] <= 8'b00000000;\r
920     fmem[889] <= 8'b00111000;\r
921     fmem[890] <= 8'b01111100;\r
922     fmem[891] <= 8'b01000100;\r
923     fmem[892] <= 8'b01000100;\r
924     fmem[893] <= 8'b01111100;\r
925     fmem[894] <= 8'b00111000;\r
926     fmem[895] <= 8'b00000000;\r
927     fmem[896] <= 8'b00000000;\r
928     fmem[897] <= 8'b00000100;\r
929     fmem[898] <= 8'b01111100;\r
930     fmem[899] <= 8'b01111000;\r
931     fmem[900] <= 8'b00100100;\r
932     fmem[901] <= 8'b00100100;\r
933     fmem[902] <= 8'b00111100;\r
934     fmem[903] <= 8'b00011000;\r
935     fmem[904] <= 8'b00000000;\r
936     fmem[905] <= 8'b00011000;\r
937     fmem[906] <= 8'b00111100;\r
938     fmem[907] <= 8'b00100100;\r
939     fmem[908] <= 8'b00100100;\r
940     fmem[909] <= 8'b01111000;\r
941     fmem[910] <= 8'b01111100;\r
942     fmem[911] <= 8'b00000000;\r
943     fmem[912] <= 8'b00000000;\r
944     fmem[913] <= 8'b01000100;\r
945     fmem[914] <= 8'b01111100;\r
946     fmem[915] <= 8'b01111000;\r
947     fmem[916] <= 8'b01001100;\r
948     fmem[917] <= 8'b00000100;\r
949     fmem[918] <= 8'b00011100;\r
950     fmem[919] <= 8'b00011000;\r
951     fmem[920] <= 8'b00000000;\r
952     fmem[921] <= 8'b01001000;\r
953     fmem[922] <= 8'b01011100;\r
954     fmem[923] <= 8'b01011100;\r
955     fmem[924] <= 8'b01110100;\r
956     fmem[925] <= 8'b01110100;\r
957     fmem[926] <= 8'b00100100;\r
958     fmem[927] <= 8'b00000000;\r
959     fmem[928] <= 8'b00000000;\r
960     fmem[929] <= 8'b00000000;\r
961     fmem[930] <= 8'b00000100;\r
962     fmem[931] <= 8'b00111110;\r
963     fmem[932] <= 8'b01111111;\r
964     fmem[933] <= 8'b01000100;\r
965     fmem[934] <= 8'b00100100;\r
966     fmem[935] <= 8'b00000000;\r
967     fmem[936] <= 8'b00000000;\r
968     fmem[937] <= 8'b00111100;\r
969     fmem[938] <= 8'b01111100;\r
970     fmem[939] <= 8'b01000000;\r
971     fmem[940] <= 8'b01000000;\r
972     fmem[941] <= 8'b00111100;\r
973     fmem[942] <= 8'b01111100;\r
974     fmem[943] <= 8'b01000000;\r
975     fmem[944] <= 8'b00000000;\r
976     fmem[945] <= 8'b00000100;\r
977     fmem[946] <= 8'b00011100;\r
978     fmem[947] <= 8'b00111100;\r
979     fmem[948] <= 8'b01100000;\r
980     fmem[949] <= 8'b00110000;\r
981     fmem[950] <= 8'b00011100;\r
982     fmem[951] <= 8'b00000100;\r
983     fmem[952] <= 8'b00000000;\r
984     fmem[953] <= 8'b00011100;\r
985     fmem[954] <= 8'b01111100;\r
986     fmem[955] <= 8'b00110000;\r
987     fmem[956] <= 8'b00011100;\r
988     fmem[957] <= 8'b00110000;\r
989     fmem[958] <= 8'b01111100;\r
990     fmem[959] <= 8'b00011100;\r
991     fmem[960] <= 8'b00000000;\r
992     fmem[961] <= 8'b01000100;\r
993     fmem[962] <= 8'b01101100;\r
994     fmem[963] <= 8'b00111100;\r
995     fmem[964] <= 8'b00010000;\r
996     fmem[965] <= 8'b01111000;\r
997     fmem[966] <= 8'b01101100;\r
998     fmem[967] <= 8'b01000100;\r
999     fmem[968] <= 8'b00000000;\r
1000     fmem[969] <= 8'b01000100;\r
1001     fmem[970] <= 8'b01001100;\r
1002     fmem[971] <= 8'b00011100;\r
1003     fmem[972] <= 8'b01110000;\r
1004     fmem[973] <= 8'b01100100;\r
1005     fmem[974] <= 8'b00011100;\r
1006     fmem[975] <= 8'b00001100;\r
1007     fmem[976] <= 8'b00000000;\r
1008     fmem[977] <= 8'b01001100;\r
1009     fmem[978] <= 8'b01100100;\r
1010     fmem[979] <= 8'b01110100;\r
1011     fmem[980] <= 8'b01011100;\r
1012     fmem[981] <= 8'b01001100;\r
1013     fmem[982] <= 8'b01100100;\r
1014     fmem[983] <= 8'b00000000;\r
1015     fmem[984] <= 8'b00000000;\r
1016     fmem[985] <= 8'b00001000;\r
1017     fmem[986] <= 8'b00001000;\r
1018     fmem[987] <= 8'b00111110;\r
1019     fmem[988] <= 8'b01110111;\r
1020     fmem[989] <= 8'b01000001;\r
1021     fmem[990] <= 8'b01000001;\r
1022     fmem[991] <= 8'b00000000;\r
1023     fmem[992] <= 8'b00000000;\r
1024     fmem[993] <= 8'b00000000;\r
1025     fmem[994] <= 8'b00000000;\r
1026     fmem[995] <= 8'b01111111;\r
1027     fmem[996] <= 8'b01111111;\r
1028     fmem[997] <= 8'b00000000;\r
1029     fmem[998] <= 8'b00000000;\r
1030     fmem[999] <= 8'b00000000;\r
1031     fmem[1000] <= 8'b00000000;\r
1032     fmem[1001] <= 8'b01000001;\r
1033     fmem[1002] <= 8'b01000001;\r
1034     fmem[1003] <= 8'b01110111;\r
1035     fmem[1004] <= 8'b00111110;\r
1036     fmem[1005] <= 8'b00001000;\r
1037     fmem[1006] <= 8'b00001000;\r
1038     fmem[1007] <= 8'b00000000;\r
1039     fmem[1008] <= 8'b00000000;\r
1040     fmem[1009] <= 8'b00000010;\r
1041     fmem[1010] <= 8'b00000001;\r
1042     fmem[1011] <= 8'b00000001;\r
1043     fmem[1012] <= 8'b00000011;\r
1044     fmem[1013] <= 8'b00000010;\r
1045     fmem[1014] <= 8'b00000010;\r
1046     fmem[1015] <= 8'b00000001;\r
1047     fmem[1016] <= 8'b00000000;\r
1048     fmem[1017] <= 8'b01100000;\r
1049     fmem[1018] <= 8'b01111000;\r
1050     fmem[1019] <= 8'b01001110;\r
1051     fmem[1020] <= 8'b01000111;\r
1052     fmem[1021] <= 8'b01011110;\r
1053     fmem[1022] <= 8'b01111000;\r
1054     fmem[1023] <= 8'b01100000;\r
1055     fmem[1024] <= 8'b00000000;\r
1056     fmem[1025] <= 8'b00011100;\r
1057     fmem[1026] <= 8'b00111110;\r
1058     fmem[1027] <= 8'b00100011;\r
1059     fmem[1028] <= 8'b01000001;\r
1060     fmem[1029] <= 8'b01000001;\r
1061     fmem[1030] <= 8'b01000010;\r
1062     fmem[1031] <= 8'b00100111;\r
1063     fmem[1032] <= 8'b00000000;\r
1064     fmem[1033] <= 8'b00111101;\r
1065     fmem[1034] <= 8'b01111101;\r
1066     fmem[1035] <= 8'b01000000;\r
1067     fmem[1036] <= 8'b01000001;\r
1068     fmem[1037] <= 8'b00111101;\r
1069     fmem[1038] <= 8'b01111100;\r
1070     fmem[1039] <= 8'b01000000;\r
1071     fmem[1040] <= 8'b00000000;\r
1072     fmem[1041] <= 8'b00111000;\r
1073     fmem[1042] <= 8'b01111100;\r
1074     fmem[1043] <= 8'b01010110;\r
1075     fmem[1044] <= 8'b01010111;\r
1076     fmem[1045] <= 8'b01011101;\r
1077     fmem[1046] <= 8'b01011001;\r
1078     fmem[1047] <= 8'b00000000;\r
1079     fmem[1048] <= 8'b00000000;\r
1080     fmem[1049] <= 8'b01101000;\r
1081     fmem[1050] <= 8'b01101110;\r
1082     fmem[1051] <= 8'b01010111;\r
1083     fmem[1052] <= 8'b01010111;\r
1084     fmem[1053] <= 8'b00111110;\r
1085     fmem[1054] <= 8'b01111000;\r
1086     fmem[1055] <= 8'b01000000;\r
1087     fmem[1056] <= 8'b00000000;\r
1088     fmem[1057] <= 8'b01101000;\r
1089     fmem[1058] <= 8'b01101101;\r
1090     fmem[1059] <= 8'b01010101;\r
1091     fmem[1060] <= 8'b01010100;\r
1092     fmem[1061] <= 8'b00111101;\r
1093     fmem[1062] <= 8'b01111001;\r
1094     fmem[1063] <= 8'b01000000;\r
1095     fmem[1064] <= 8'b00000000;\r
1096     fmem[1065] <= 8'b01101000;\r
1097     fmem[1066] <= 8'b01101101;\r
1098     fmem[1067] <= 8'b01010111;\r
1099     fmem[1068] <= 8'b01010110;\r
1100     fmem[1069] <= 8'b00111100;\r
1101     fmem[1070] <= 8'b01111000;\r
1102     fmem[1071] <= 8'b01000000;\r
1103     fmem[1072] <= 8'b00000000;\r
1104     fmem[1073] <= 8'b01101000;\r
1105     fmem[1074] <= 8'b01101100;\r
1106     fmem[1075] <= 8'b01010111;\r
1107     fmem[1076] <= 8'b01010111;\r
1108     fmem[1077] <= 8'b00111100;\r
1109     fmem[1078] <= 8'b01111000;\r
1110     fmem[1079] <= 8'b01000000;\r
1111     fmem[1080] <= 8'b00000000;\r
1112     fmem[1081] <= 8'b00011000;\r
1113     fmem[1082] <= 8'b00111100;\r
1114     fmem[1083] <= 8'b01100100;\r
1115     fmem[1084] <= 8'b01000100;\r
1116     fmem[1085] <= 8'b01101100;\r
1117     fmem[1086] <= 8'b00101100;\r
1118     fmem[1087] <= 8'b00000000;\r
1119     fmem[1088] <= 8'b00000000;\r
1120     fmem[1089] <= 8'b00111000;\r
1121     fmem[1090] <= 8'b01111110;\r
1122     fmem[1091] <= 8'b01010111;\r
1123     fmem[1092] <= 8'b01010111;\r
1124     fmem[1093] <= 8'b01011110;\r
1125     fmem[1094] <= 8'b01011000;\r
1126     fmem[1095] <= 8'b00000000;\r
1127     fmem[1096] <= 8'b00000000;\r
1128     fmem[1097] <= 8'b00111000;\r
1129     fmem[1098] <= 8'b01111101;\r
1130     fmem[1099] <= 8'b01010101;\r
1131     fmem[1100] <= 8'b01010100;\r
1132     fmem[1101] <= 8'b01011101;\r
1133     fmem[1102] <= 8'b01011001;\r
1134     fmem[1103] <= 8'b00000000;\r
1135     fmem[1104] <= 8'b00000000;\r
1136     fmem[1105] <= 8'b00111000;\r
1137     fmem[1106] <= 8'b01111101;\r
1138     fmem[1107] <= 8'b01010111;\r
1139     fmem[1108] <= 8'b01010110;\r
1140     fmem[1109] <= 8'b01011100;\r
1141     fmem[1110] <= 8'b01011000;\r
1142     fmem[1111] <= 8'b00000000;\r
1143     fmem[1112] <= 8'b00000000;\r
1144     fmem[1113] <= 8'b00000001;\r
1145     fmem[1114] <= 8'b01000101;\r
1146     fmem[1115] <= 8'b01111100;\r
1147     fmem[1116] <= 8'b01111101;\r
1148     fmem[1117] <= 8'b01000001;\r
1149     fmem[1118] <= 8'b00000000;\r
1150     fmem[1119] <= 8'b00000000;\r
1151     fmem[1120] <= 8'b00000000;\r
1152     fmem[1121] <= 8'b00000000;\r
1153     fmem[1122] <= 8'b01001010;\r
1154     fmem[1123] <= 8'b01111011;\r
1155     fmem[1124] <= 8'b01111011;\r
1156     fmem[1125] <= 8'b01000010;\r
1157     fmem[1126] <= 8'b00000000;\r
1158     fmem[1127] <= 8'b00000000;\r
1159     fmem[1128] <= 8'b00000000;\r
1160     fmem[1129] <= 8'b00000000;\r
1161     fmem[1130] <= 8'b01001001;\r
1162     fmem[1131] <= 8'b01111011;\r
1163     fmem[1132] <= 8'b01111010;\r
1164     fmem[1133] <= 8'b01000000;\r
1165     fmem[1134] <= 8'b00000000;\r
1166     fmem[1135] <= 8'b00000000;\r
1167     fmem[1136] <= 8'b00000000;\r
1168     fmem[1137] <= 8'b01000000;\r
1169     fmem[1138] <= 8'b01110001;\r
1170     fmem[1139] <= 8'b00111101;\r
1171     fmem[1140] <= 8'b00100110;\r
1172     fmem[1141] <= 8'b00111101;\r
1173     fmem[1142] <= 8'b01111001;\r
1174     fmem[1143] <= 8'b01100000;\r
1175     fmem[1144] <= 8'b00000000;\r
1176     fmem[1145] <= 8'b01000000;\r
1177     fmem[1146] <= 8'b01110000;\r
1178     fmem[1147] <= 8'b00111111;\r
1179     fmem[1148] <= 8'b00101101;\r
1180     fmem[1149] <= 8'b00111111;\r
1181     fmem[1150] <= 8'b01111000;\r
1182     fmem[1151] <= 8'b01100000;\r
1183     fmem[1152] <= 8'b00000000;\r
1184     fmem[1153] <= 8'b01000100;\r
1185     fmem[1154] <= 8'b01111100;\r
1186     fmem[1155] <= 8'b01111100;\r
1187     fmem[1156] <= 8'b01010110;\r
1188     fmem[1157] <= 8'b01010111;\r
1189     fmem[1158] <= 8'b01000101;\r
1190     fmem[1159] <= 8'b01101101;\r
1191     fmem[1160] <= 8'b00000000;\r
1192     fmem[1161] <= 8'b01100100;\r
1193     fmem[1162] <= 8'b01110100;\r
1194     fmem[1163] <= 8'b01011100;\r
1195     fmem[1164] <= 8'b00111000;\r
1196     fmem[1165] <= 8'b01110100;\r
1197     fmem[1166] <= 8'b01011100;\r
1198     fmem[1167] <= 8'b01011000;\r
1199     fmem[1168] <= 8'b00000000;\r
1200     fmem[1169] <= 8'b01110000;\r
1201     fmem[1170] <= 8'b01111111;\r
1202     fmem[1171] <= 8'b00001011;\r
1203     fmem[1172] <= 8'b01111111;\r
1204     fmem[1173] <= 8'b01111111;\r
1205     fmem[1174] <= 8'b01001001;\r
1206     fmem[1175] <= 8'b01100011;\r
1207     fmem[1176] <= 8'b00000000;\r
1208     fmem[1177] <= 8'b00111000;\r
1209     fmem[1178] <= 8'b01111110;\r
1210     fmem[1179] <= 8'b01000111;\r
1211     fmem[1180] <= 8'b01000111;\r
1212     fmem[1181] <= 8'b01111110;\r
1213     fmem[1182] <= 8'b00111000;\r
1214     fmem[1183] <= 8'b00000000;\r
1215     fmem[1184] <= 8'b00000000;\r
1216     fmem[1185] <= 8'b00111001;\r
1217     fmem[1186] <= 8'b01111101;\r
1218     fmem[1187] <= 8'b01000100;\r
1219     fmem[1188] <= 8'b01000100;\r
1220     fmem[1189] <= 8'b01111101;\r
1221     fmem[1190] <= 8'b00111001;\r
1222     fmem[1191] <= 8'b00000000;\r
1223     fmem[1192] <= 8'b00000000;\r
1224     fmem[1193] <= 8'b00111001;\r
1225     fmem[1194] <= 8'b01111101;\r
1226     fmem[1195] <= 8'b01000111;\r
1227     fmem[1196] <= 8'b01000110;\r
1228     fmem[1197] <= 8'b01111100;\r
1229     fmem[1198] <= 8'b00111000;\r
1230     fmem[1199] <= 8'b00000000;\r
1231     fmem[1200] <= 8'b00000000;\r
1232     fmem[1201] <= 8'b00111100;\r
1233     fmem[1202] <= 8'b01111010;\r
1234     fmem[1203] <= 8'b01000011;\r
1235     fmem[1204] <= 8'b01000011;\r
1236     fmem[1205] <= 8'b00111010;\r
1237     fmem[1206] <= 8'b01111100;\r
1238     fmem[1207] <= 8'b01000000;\r
1239     fmem[1208] <= 8'b00000000;\r
1240     fmem[1209] <= 8'b00111101;\r
1241     fmem[1210] <= 8'b01111101;\r
1242     fmem[1211] <= 8'b01000011;\r
1243     fmem[1212] <= 8'b01000010;\r
1244     fmem[1213] <= 8'b00111100;\r
1245     fmem[1214] <= 8'b01111100;\r
1246     fmem[1215] <= 8'b01000000;\r
1247     fmem[1216] <= 8'b00000000;\r
1248     fmem[1217] <= 8'b01000100;\r
1249     fmem[1218] <= 8'b01001101;\r
1250     fmem[1219] <= 8'b00011101;\r
1251     fmem[1220] <= 8'b01110000;\r
1252     fmem[1221] <= 8'b01100101;\r
1253     fmem[1222] <= 8'b00011101;\r
1254     fmem[1223] <= 8'b00001100;\r
1255     fmem[1224] <= 8'b00000000;\r
1256     fmem[1225] <= 8'b00011001;\r
1257     fmem[1226] <= 8'b00111101;\r
1258     fmem[1227] <= 8'b01100110;\r
1259     fmem[1228] <= 8'b01000010;\r
1260     fmem[1229] <= 8'b01100110;\r
1261     fmem[1230] <= 8'b00111101;\r
1262     fmem[1231] <= 8'b00011001;\r
1263     fmem[1232] <= 8'b00000000;\r
1264     fmem[1233] <= 8'b00111110;\r
1265     fmem[1234] <= 8'b01111101;\r
1266     fmem[1235] <= 8'b01000001;\r
1267     fmem[1236] <= 8'b01000000;\r
1268     fmem[1237] <= 8'b01000001;\r
1269     fmem[1238] <= 8'b01111101;\r
1270     fmem[1239] <= 8'b00111110;\r
1271     fmem[1240] <= 8'b00000000;\r
1272     fmem[1241] <= 8'b00111000;\r
1273     fmem[1242] <= 8'b01111100;\r
1274     fmem[1243] <= 8'b01000100;\r
1275     fmem[1244] <= 8'b01111100;\r
1276     fmem[1245] <= 8'b01001111;\r
1277     fmem[1246] <= 8'b00101001;\r
1278     fmem[1247] <= 8'b00000000;\r
1279     fmem[1248] <= 8'b00000000;\r
1280     fmem[1249] <= 8'b01101000;\r
1281     fmem[1250] <= 8'b01011110;\r
1282     fmem[1251] <= 8'b01111111;\r
1283     fmem[1252] <= 8'b00111001;\r
1284     fmem[1253] <= 8'b01001001;\r
1285     fmem[1254] <= 8'b01100111;\r
1286     fmem[1255] <= 8'b00110110;\r
1287     fmem[1256] <= 8'b00000000;\r
1288     fmem[1257] <= 8'b00101011;\r
1289     fmem[1258] <= 8'b00101111;\r
1290     fmem[1259] <= 8'b01111100;\r
1291     fmem[1260] <= 8'b01111100;\r
1292     fmem[1261] <= 8'b00101111;\r
1293     fmem[1262] <= 8'b00101011;\r
1294     fmem[1263] <= 8'b00000000;\r
1295     fmem[1264] <= 8'b00000000;\r
1296     fmem[1265] <= 8'b01111111;\r
1297     fmem[1266] <= 8'b01111111;\r
1298     fmem[1267] <= 8'b00001001;\r
1299     fmem[1268] <= 8'b00101111;\r
1300     fmem[1269] <= 8'b01110110;\r
1301     fmem[1270] <= 8'b01111000;\r
1302     fmem[1271] <= 8'b00100000;\r
1303     fmem[1272] <= 8'b00000000;\r
1304     fmem[1273] <= 8'b01000000;\r
1305     fmem[1274] <= 8'b00001000;\r
1306     fmem[1275] <= 8'b01111110;\r
1307     fmem[1276] <= 8'b01111111;\r
1308     fmem[1277] <= 8'b00001001;\r
1309     fmem[1278] <= 8'b00001011;\r
1310     fmem[1279] <= 8'b00000010;\r
1311     fmem[1280] <= 8'b00000000;\r
1312     fmem[1281] <= 8'b01101000;\r
1313     fmem[1282] <= 8'b01101100;\r
1314     fmem[1283] <= 8'b01010110;\r
1315     fmem[1284] <= 8'b01010111;\r
1316     fmem[1285] <= 8'b00111101;\r
1317     fmem[1286] <= 8'b01111001;\r
1318     fmem[1287] <= 8'b01000000;\r
1319     fmem[1288] <= 8'b00000000;\r
1320     fmem[1289] <= 8'b00000000;\r
1321     fmem[1290] <= 8'b01001010;\r
1322     fmem[1291] <= 8'b01111011;\r
1323     fmem[1292] <= 8'b01111001;\r
1324     fmem[1293] <= 8'b01000001;\r
1325     fmem[1294] <= 8'b00000000;\r
1326     fmem[1295] <= 8'b00000000;\r
1327     fmem[1296] <= 8'b00000000;\r
1328     fmem[1297] <= 8'b00111000;\r
1329     fmem[1298] <= 8'b01111100;\r
1330     fmem[1299] <= 8'b01000110;\r
1331     fmem[1300] <= 8'b01000111;\r
1332     fmem[1301] <= 8'b01111101;\r
1333     fmem[1302] <= 8'b00111001;\r
1334     fmem[1303] <= 8'b00000000;\r
1335     fmem[1304] <= 8'b00000000;\r
1336     fmem[1305] <= 8'b00111100;\r
1337     fmem[1306] <= 8'b01111100;\r
1338     fmem[1307] <= 8'b01000010;\r
1339     fmem[1308] <= 8'b01000011;\r
1340     fmem[1309] <= 8'b00111101;\r
1341     fmem[1310] <= 8'b01111101;\r
1342     fmem[1311] <= 8'b01000000;\r
1343     fmem[1312] <= 8'b00000000;\r
1344     fmem[1313] <= 8'b01000100;\r
1345     fmem[1314] <= 8'b01111110;\r
1346     fmem[1315] <= 8'b01111101;\r
1347     fmem[1316] <= 8'b00001011;\r
1348     fmem[1317] <= 8'b00000110;\r
1349     fmem[1318] <= 8'b01111101;\r
1350     fmem[1319] <= 8'b01111000;\r
1351     fmem[1320] <= 8'b00000000;\r
1352     fmem[1321] <= 8'b01111100;\r
1353     fmem[1322] <= 8'b01111110;\r
1354     fmem[1323] <= 8'b00001101;\r
1355     fmem[1324] <= 8'b00011011;\r
1356     fmem[1325] <= 8'b00110010;\r
1357     fmem[1326] <= 8'b01111101;\r
1358     fmem[1327] <= 8'b01111100;\r
1359     fmem[1328] <= 8'b00000000;\r
1360     fmem[1329] <= 8'b00000000;\r
1361     fmem[1330] <= 8'b00100110;\r
1362     fmem[1331] <= 8'b00101111;\r
1363     fmem[1332] <= 8'b00101001;\r
1364     fmem[1333] <= 8'b00101111;\r
1365     fmem[1334] <= 8'b00101111;\r
1366     fmem[1335] <= 8'b00101000;\r
1367     fmem[1336] <= 8'b00000000;\r
1368     fmem[1337] <= 8'b00000000;\r
1369     fmem[1338] <= 8'b00100110;\r
1370     fmem[1339] <= 8'b00101111;\r
1371     fmem[1340] <= 8'b00101001;\r
1372     fmem[1341] <= 8'b00101111;\r
1373     fmem[1342] <= 8'b00100110;\r
1374     fmem[1343] <= 8'b00000000;\r
1375     fmem[1344] <= 8'b00000000;\r
1376     fmem[1345] <= 8'b00110000;\r
1377     fmem[1346] <= 8'b01111000;\r
1378     fmem[1347] <= 8'b01001101;\r
1379     fmem[1348] <= 8'b01000101;\r
1380     fmem[1349] <= 8'b01110000;\r
1381     fmem[1350] <= 8'b00100000;\r
1382     fmem[1351] <= 8'b00000000;\r
1383     fmem[1352] <= 8'b00000000;\r
1384     fmem[1353] <= 8'b00111000;\r
1385     fmem[1354] <= 8'b00111000;\r
1386     fmem[1355] <= 8'b00001000;\r
1387     fmem[1356] <= 8'b00001000;\r
1388     fmem[1357] <= 8'b00001000;\r
1389     fmem[1358] <= 8'b00001000;\r
1390     fmem[1359] <= 8'b00000000;\r
1391     fmem[1360] <= 8'b00000000;\r
1392     fmem[1361] <= 8'b00001000;\r
1393     fmem[1362] <= 8'b00001000;\r
1394     fmem[1363] <= 8'b00001000;\r
1395     fmem[1364] <= 8'b00001000;\r
1396     fmem[1365] <= 8'b00111000;\r
1397     fmem[1366] <= 8'b00111000;\r
1398     fmem[1367] <= 8'b00000000;\r
1399     fmem[1368] <= 8'b00000000;\r
1400     fmem[1369] <= 8'b01101111;\r
1401     fmem[1370] <= 8'b00111111;\r
1402     fmem[1371] <= 8'b00011000;\r
1403     fmem[1372] <= 8'b01011100;\r
1404     fmem[1373] <= 8'b01101110;\r
1405     fmem[1374] <= 8'b00111010;\r
1406     fmem[1375] <= 8'b00010000;\r
1407     fmem[1376] <= 8'b00000000;\r
1408     fmem[1377] <= 8'b01101111;\r
1409     fmem[1378] <= 8'b00111111;\r
1410     fmem[1379] <= 8'b00011000;\r
1411     fmem[1380] <= 8'b01101100;\r
1412     fmem[1381] <= 8'b01010110;\r
1413     fmem[1382] <= 8'b01111010;\r
1414     fmem[1383] <= 8'b01111000;\r
1415     fmem[1384] <= 8'b00000000;\r
1416     fmem[1385] <= 8'b00000000;\r
1417     fmem[1386] <= 8'b00000000;\r
1418     fmem[1387] <= 8'b01111101;\r
1419     fmem[1388] <= 8'b01111101;\r
1420     fmem[1389] <= 8'b00000000;\r
1421     fmem[1390] <= 8'b00000000;\r
1422     fmem[1391] <= 8'b00000000;\r
1423     fmem[1392] <= 8'b00000000;\r
1424     fmem[1393] <= 8'b00011000;\r
1425     fmem[1394] <= 8'b00111100;\r
1426     fmem[1395] <= 8'b01100110;\r
1427     fmem[1396] <= 8'b01011010;\r
1428     fmem[1397] <= 8'b00111100;\r
1429     fmem[1398] <= 8'b01100110;\r
1430     fmem[1399] <= 8'b01000010;\r
1431     fmem[1400] <= 8'b00000000;\r
1432     fmem[1401] <= 8'b01000010;\r
1433     fmem[1402] <= 8'b01100110;\r
1434     fmem[1403] <= 8'b00111100;\r
1435     fmem[1404] <= 8'b01011010;\r
1436     fmem[1405] <= 8'b01100110;\r
1437     fmem[1406] <= 8'b00111100;\r
1438     fmem[1407] <= 8'b00011000;\r
1439     fmem[1408] <= 8'b00000000;\r
1440     fmem[1409] <= 8'b00101010;\r
1441     fmem[1410] <= 8'b00000000;\r
1442     fmem[1411] <= 8'b01010101;\r
1443     fmem[1412] <= 8'b00000000;\r
1444     fmem[1413] <= 8'b00101010;\r
1445     fmem[1414] <= 8'b00000000;\r
1446     fmem[1415] <= 8'b01010101;\r
1447     fmem[1416] <= 8'b00101010;\r
1448     fmem[1417] <= 8'b01010101;\r
1449     fmem[1418] <= 8'b00101010;\r
1450     fmem[1419] <= 8'b01010101;\r
1451     fmem[1420] <= 8'b00101010;\r
1452     fmem[1421] <= 8'b01010101;\r
1453     fmem[1422] <= 8'b00101010;\r
1454     fmem[1423] <= 8'b01010101;\r
1455     fmem[1424] <= 8'b01111111;\r
1456     fmem[1425] <= 8'b01010101;\r
1457     fmem[1426] <= 8'b01111111;\r
1458     fmem[1427] <= 8'b00101010;\r
1459     fmem[1428] <= 8'b01111111;\r
1460     fmem[1429] <= 8'b01010101;\r
1461     fmem[1430] <= 8'b01111111;\r
1462     fmem[1431] <= 8'b00101010;\r
1463     fmem[1432] <= 8'b00000000;\r
1464     fmem[1433] <= 8'b00000000;\r
1465     fmem[1434] <= 8'b00000000;\r
1466     fmem[1435] <= 8'b01111111;\r
1467     fmem[1436] <= 8'b01111111;\r
1468     fmem[1437] <= 8'b00000000;\r
1469     fmem[1438] <= 8'b00000000;\r
1470     fmem[1439] <= 8'b00000000;\r
1471     fmem[1440] <= 8'b00011000;\r
1472     fmem[1441] <= 8'b00011000;\r
1473     fmem[1442] <= 8'b00011000;\r
1474     fmem[1443] <= 8'b01111111;\r
1475     fmem[1444] <= 8'b01111111;\r
1476     fmem[1445] <= 8'b00000000;\r
1477     fmem[1446] <= 8'b00000000;\r
1478     fmem[1447] <= 8'b00000000;\r
1479     fmem[1448] <= 8'b00101100;\r
1480     fmem[1449] <= 8'b00101100;\r
1481     fmem[1450] <= 8'b00101100;\r
1482     fmem[1451] <= 8'b01111111;\r
1483     fmem[1452] <= 8'b01111111;\r
1484     fmem[1453] <= 8'b00000000;\r
1485     fmem[1454] <= 8'b00000000;\r
1486     fmem[1455] <= 8'b00000000;\r
1487     fmem[1456] <= 8'b00011000;\r
1488     fmem[1457] <= 8'b00011000;\r
1489     fmem[1458] <= 8'b01111111;\r
1490     fmem[1459] <= 8'b01111111;\r
1491     fmem[1460] <= 8'b00000000;\r
1492     fmem[1461] <= 8'b01111111;\r
1493     fmem[1462] <= 8'b00000000;\r
1494     fmem[1463] <= 8'b00000000;\r
1495     fmem[1464] <= 8'b00011000;\r
1496     fmem[1465] <= 8'b00011000;\r
1497     fmem[1466] <= 8'b01111000;\r
1498     fmem[1467] <= 8'b01111000;\r
1499     fmem[1468] <= 8'b00011000;\r
1500     fmem[1469] <= 8'b01110000;\r
1501     fmem[1470] <= 8'b00000000;\r
1502     fmem[1471] <= 8'b00000000;\r
1503     fmem[1472] <= 8'b00101100;\r
1504     fmem[1473] <= 8'b00101100;\r
1505     fmem[1474] <= 8'b00101100;\r
1506     fmem[1475] <= 8'b01111100;\r
1507     fmem[1476] <= 8'b01111000;\r
1508     fmem[1477] <= 8'b00000000;\r
1509     fmem[1478] <= 8'b00000000;\r
1510     fmem[1479] <= 8'b00000000;\r
1511     fmem[1480] <= 8'b00101100;\r
1512     fmem[1481] <= 8'b00101100;\r
1513     fmem[1482] <= 8'b01101111;\r
1514     fmem[1483] <= 8'b01101111;\r
1515     fmem[1484] <= 8'b00000000;\r
1516     fmem[1485] <= 8'b01111111;\r
1517     fmem[1486] <= 8'b00000000;\r
1518     fmem[1487] <= 8'b00000000;\r
1519     fmem[1488] <= 8'b00000000;\r
1520     fmem[1489] <= 8'b00000000;\r
1521     fmem[1490] <= 8'b01111111;\r
1522     fmem[1491] <= 8'b01111111;\r
1523     fmem[1492] <= 8'b00000000;\r
1524     fmem[1493] <= 8'b01111111;\r
1525     fmem[1494] <= 8'b00000000;\r
1526     fmem[1495] <= 8'b00000000;\r
1527     fmem[1496] <= 8'b00101100;\r
1528     fmem[1497] <= 8'b00101100;\r
1529     fmem[1498] <= 8'b01101100;\r
1530     fmem[1499] <= 8'b01101100;\r
1531     fmem[1500] <= 8'b00001100;\r
1532     fmem[1501] <= 8'b01111000;\r
1533     fmem[1502] <= 8'b00000000;\r
1534     fmem[1503] <= 8'b00000000;\r
1535     fmem[1504] <= 8'b00101100;\r
1536     fmem[1505] <= 8'b00101100;\r
1537     fmem[1506] <= 8'b00101111;\r
1538     fmem[1507] <= 8'b00101111;\r
1539     fmem[1508] <= 8'b00100000;\r
1540     fmem[1509] <= 8'b00111111;\r
1541     fmem[1510] <= 8'b00000000;\r
1542     fmem[1511] <= 8'b00000000;\r
1543     fmem[1512] <= 8'b00011000;\r
1544     fmem[1513] <= 8'b00011000;\r
1545     fmem[1514] <= 8'b00011111;\r
1546     fmem[1515] <= 8'b00011111;\r
1547     fmem[1516] <= 8'b00011000;\r
1548     fmem[1517] <= 8'b00011111;\r
1549     fmem[1518] <= 8'b00000000;\r
1550     fmem[1519] <= 8'b00000000;\r
1551     fmem[1520] <= 8'b00101100;\r
1552     fmem[1521] <= 8'b00101100;\r
1553     fmem[1522] <= 8'b00101100;\r
1554     fmem[1523] <= 8'b00111111;\r
1555     fmem[1524] <= 8'b00111111;\r
1556     fmem[1525] <= 8'b00000000;\r
1557     fmem[1526] <= 8'b00000000;\r
1558     fmem[1527] <= 8'b00000000;\r
1559     fmem[1528] <= 8'b00011000;\r
1560     fmem[1529] <= 8'b00011000;\r
1561     fmem[1530] <= 8'b00011000;\r
1562     fmem[1531] <= 8'b01111000;\r
1563     fmem[1532] <= 8'b01111000;\r
1564     fmem[1533] <= 8'b00000000;\r
1565     fmem[1534] <= 8'b00000000;\r
1566     fmem[1535] <= 8'b00000000;\r
1567     fmem[1536] <= 8'b00000000;\r
1568     fmem[1537] <= 8'b00000000;\r
1569     fmem[1538] <= 8'b00000000;\r
1570     fmem[1539] <= 8'b00011111;\r
1571     fmem[1540] <= 8'b00011111;\r
1572     fmem[1541] <= 8'b00011000;\r
1573     fmem[1542] <= 8'b00011000;\r
1574     fmem[1543] <= 8'b00011000;\r
1575     fmem[1544] <= 8'b00011000;\r
1576     fmem[1545] <= 8'b00011000;\r
1577     fmem[1546] <= 8'b00011000;\r
1578     fmem[1547] <= 8'b00011111;\r
1579     fmem[1548] <= 8'b00011111;\r
1580     fmem[1549] <= 8'b00011000;\r
1581     fmem[1550] <= 8'b00011000;\r
1582     fmem[1551] <= 8'b00011000;\r
1583     fmem[1552] <= 8'b00011000;\r
1584     fmem[1553] <= 8'b00011000;\r
1585     fmem[1554] <= 8'b00011000;\r
1586     fmem[1555] <= 8'b01111000;\r
1587     fmem[1556] <= 8'b01111000;\r
1588     fmem[1557] <= 8'b00011000;\r
1589     fmem[1558] <= 8'b00011000;\r
1590     fmem[1559] <= 8'b00011000;\r
1591     fmem[1560] <= 8'b00000000;\r
1592     fmem[1561] <= 8'b00000000;\r
1593     fmem[1562] <= 8'b00000000;\r
1594     fmem[1563] <= 8'b01111111;\r
1595     fmem[1564] <= 8'b01111111;\r
1596     fmem[1565] <= 8'b00011000;\r
1597     fmem[1566] <= 8'b00011000;\r
1598     fmem[1567] <= 8'b00011000;\r
1599     fmem[1568] <= 8'b00011000;\r
1600     fmem[1569] <= 8'b00011000;\r
1601     fmem[1570] <= 8'b00011000;\r
1602     fmem[1571] <= 8'b00011000;\r
1603     fmem[1572] <= 8'b00011000;\r
1604     fmem[1573] <= 8'b00011000;\r
1605     fmem[1574] <= 8'b00011000;\r
1606     fmem[1575] <= 8'b00011000;\r
1607     fmem[1576] <= 8'b00011000;\r
1608     fmem[1577] <= 8'b00011000;\r
1609     fmem[1578] <= 8'b00011000;\r
1610     fmem[1579] <= 8'b01111111;\r
1611     fmem[1580] <= 8'b01111111;\r
1612     fmem[1581] <= 8'b00011000;\r
1613     fmem[1582] <= 8'b00011000;\r
1614     fmem[1583] <= 8'b00011000;\r
1615     fmem[1584] <= 8'b00000000;\r
1616     fmem[1585] <= 8'b00000000;\r
1617     fmem[1586] <= 8'b00000000;\r
1618     fmem[1587] <= 8'b01111111;\r
1619     fmem[1588] <= 8'b01111111;\r
1620     fmem[1589] <= 8'b00101100;\r
1621     fmem[1590] <= 8'b00101100;\r
1622     fmem[1591] <= 8'b00101100;\r
1623     fmem[1592] <= 8'b00000000;\r
1624     fmem[1593] <= 8'b00000000;\r
1625     fmem[1594] <= 8'b01111111;\r
1626     fmem[1595] <= 8'b01111111;\r
1627     fmem[1596] <= 8'b00000000;\r
1628     fmem[1597] <= 8'b01111111;\r
1629     fmem[1598] <= 8'b00011000;\r
1630     fmem[1599] <= 8'b00011000;\r
1631     fmem[1600] <= 8'b00000000;\r
1632     fmem[1601] <= 8'b00000000;\r
1633     fmem[1602] <= 8'b00011111;\r
1634     fmem[1603] <= 8'b00111111;\r
1635     fmem[1604] <= 8'b00100000;\r
1636     fmem[1605] <= 8'b00101111;\r
1637     fmem[1606] <= 8'b00101100;\r
1638     fmem[1607] <= 8'b00101100;\r
1639     fmem[1608] <= 8'b00000000;\r
1640     fmem[1609] <= 8'b00000000;\r
1641     fmem[1610] <= 8'b01111100;\r
1642     fmem[1611] <= 8'b01111100;\r
1643     fmem[1612] <= 8'b00001100;\r
1644     fmem[1613] <= 8'b01101100;\r
1645     fmem[1614] <= 8'b00101100;\r
1646     fmem[1615] <= 8'b00101100;\r
1647     fmem[1616] <= 8'b00101100;\r
1648     fmem[1617] <= 8'b00101100;\r
1649     fmem[1618] <= 8'b00101111;\r
1650     fmem[1619] <= 8'b00101111;\r
1651     fmem[1620] <= 8'b00100000;\r
1652     fmem[1621] <= 8'b00101111;\r
1653     fmem[1622] <= 8'b00101100;\r
1654     fmem[1623] <= 8'b00101100;\r
1655     fmem[1624] <= 8'b00101100;\r
1656     fmem[1625] <= 8'b00101100;\r
1657     fmem[1626] <= 8'b01101100;\r
1658     fmem[1627] <= 8'b01101100;\r
1659     fmem[1628] <= 8'b00001100;\r
1660     fmem[1629] <= 8'b01101100;\r
1661     fmem[1630] <= 8'b00101100;\r
1662     fmem[1631] <= 8'b00101100;\r
1663     fmem[1632] <= 8'b00000000;\r
1664     fmem[1633] <= 8'b00000000;\r
1665     fmem[1634] <= 8'b01111111;\r
1666     fmem[1635] <= 8'b01111111;\r
1667     fmem[1636] <= 8'b00000000;\r
1668     fmem[1637] <= 8'b01101111;\r
1669     fmem[1638] <= 8'b00101100;\r
1670     fmem[1639] <= 8'b00101100;\r
1671     fmem[1640] <= 8'b00101100;\r
1672     fmem[1641] <= 8'b00101100;\r
1673     fmem[1642] <= 8'b00101100;\r
1674     fmem[1643] <= 8'b00101100;\r
1675     fmem[1644] <= 8'b00101100;\r
1676     fmem[1645] <= 8'b00101100;\r
1677     fmem[1646] <= 8'b00101100;\r
1678     fmem[1647] <= 8'b00101100;\r
1679     fmem[1648] <= 8'b00101100;\r
1680     fmem[1649] <= 8'b00101100;\r
1681     fmem[1650] <= 8'b01101111;\r
1682     fmem[1651] <= 8'b01101111;\r
1683     fmem[1652] <= 8'b00000000;\r
1684     fmem[1653] <= 8'b01101111;\r
1685     fmem[1654] <= 8'b00101100;\r
1686     fmem[1655] <= 8'b00101100;\r
1687     fmem[1656] <= 8'b00101100;\r
1688     fmem[1657] <= 8'b00101100;\r
1689     fmem[1658] <= 8'b00101100;\r
1690     fmem[1659] <= 8'b00101111;\r
1691     fmem[1660] <= 8'b00101111;\r
1692     fmem[1661] <= 8'b00101100;\r
1693     fmem[1662] <= 8'b00101100;\r
1694     fmem[1663] <= 8'b00101100;\r
1695     fmem[1664] <= 8'b00011000;\r
1696     fmem[1665] <= 8'b00011000;\r
1697     fmem[1666] <= 8'b00011111;\r
1698     fmem[1667] <= 8'b00011111;\r
1699     fmem[1668] <= 8'b00011000;\r
1700     fmem[1669] <= 8'b00011111;\r
1701     fmem[1670] <= 8'b00011000;\r
1702     fmem[1671] <= 8'b00011000;\r
1703     fmem[1672] <= 8'b00101100;\r
1704     fmem[1673] <= 8'b00101100;\r
1705     fmem[1674] <= 8'b00101100;\r
1706     fmem[1675] <= 8'b01101100;\r
1707     fmem[1676] <= 8'b01101100;\r
1708     fmem[1677] <= 8'b00101100;\r
1709     fmem[1678] <= 8'b00101100;\r
1710     fmem[1679] <= 8'b00101100;\r
1711     fmem[1680] <= 8'b00011000;\r
1712     fmem[1681] <= 8'b00011000;\r
1713     fmem[1682] <= 8'b01111000;\r
1714     fmem[1683] <= 8'b01111000;\r
1715     fmem[1684] <= 8'b00011000;\r
1716     fmem[1685] <= 8'b01111000;\r
1717     fmem[1686] <= 8'b00011000;\r
1718     fmem[1687] <= 8'b00011000;\r
1719     fmem[1688] <= 8'b00000000;\r
1720     fmem[1689] <= 8'b00000000;\r
1721     fmem[1690] <= 8'b00001111;\r
1722     fmem[1691] <= 8'b00011111;\r
1723     fmem[1692] <= 8'b00011000;\r
1724     fmem[1693] <= 8'b00011111;\r
1725     fmem[1694] <= 8'b00011000;\r
1726     fmem[1695] <= 8'b00011000;\r
1727     fmem[1696] <= 8'b00000000;\r
1728     fmem[1697] <= 8'b00000000;\r
1729     fmem[1698] <= 8'b00000000;\r
1730     fmem[1699] <= 8'b00011111;\r
1731     fmem[1700] <= 8'b00111111;\r
1732     fmem[1701] <= 8'b00101100;\r
1733     fmem[1702] <= 8'b00101100;\r
1734     fmem[1703] <= 8'b00101100;\r
1735     fmem[1704] <= 8'b00000000;\r
1736     fmem[1705] <= 8'b00000000;\r
1737     fmem[1706] <= 8'b00000000;\r
1738     fmem[1707] <= 8'b01111100;\r
1739     fmem[1708] <= 8'b01111100;\r
1740     fmem[1709] <= 8'b00101100;\r
1741     fmem[1710] <= 8'b00101100;\r
1742     fmem[1711] <= 8'b00101100;\r
1743     fmem[1712] <= 8'b00000000;\r
1744     fmem[1713] <= 8'b00000000;\r
1745     fmem[1714] <= 8'b01111000;\r
1746     fmem[1715] <= 8'b01111000;\r
1747     fmem[1716] <= 8'b00011000;\r
1748     fmem[1717] <= 8'b01111000;\r
1749     fmem[1718] <= 8'b00011000;\r
1750     fmem[1719] <= 8'b00011000;\r
1751     fmem[1720] <= 8'b00011000;\r
1752     fmem[1721] <= 8'b00011000;\r
1753     fmem[1722] <= 8'b01111111;\r
1754     fmem[1723] <= 8'b01111111;\r
1755     fmem[1724] <= 8'b00011000;\r
1756     fmem[1725] <= 8'b01111111;\r
1757     fmem[1726] <= 8'b00011000;\r
1758     fmem[1727] <= 8'b00011000;\r
1759     fmem[1728] <= 8'b00101100;\r
1760     fmem[1729] <= 8'b00101100;\r
1761     fmem[1730] <= 8'b00101100;\r
1762     fmem[1731] <= 8'b01111111;\r
1763     fmem[1732] <= 8'b01111111;\r
1764     fmem[1733] <= 8'b00101100;\r
1765     fmem[1734] <= 8'b00101100;\r
1766     fmem[1735] <= 8'b00101100;\r
1767     fmem[1736] <= 8'b00011000;\r
1768     fmem[1737] <= 8'b00011000;\r
1769     fmem[1738] <= 8'b00011000;\r
1770     fmem[1739] <= 8'b00011111;\r
1771     fmem[1740] <= 8'b00011111;\r
1772     fmem[1741] <= 8'b00000000;\r
1773     fmem[1742] <= 8'b00000000;\r
1774     fmem[1743] <= 8'b00000000;\r
1775     fmem[1744] <= 8'b00000000;\r
1776     fmem[1745] <= 8'b00000000;\r
1777     fmem[1746] <= 8'b00000000;\r
1778     fmem[1747] <= 8'b01111000;\r
1779     fmem[1748] <= 8'b01111000;\r
1780     fmem[1749] <= 8'b00011000;\r
1781     fmem[1750] <= 8'b00011000;\r
1782     fmem[1751] <= 8'b00011000;\r
1783     fmem[1752] <= 8'b01111111;\r
1784     fmem[1753] <= 8'b01111111;\r
1785     fmem[1754] <= 8'b01111111;\r
1786     fmem[1755] <= 8'b01111111;\r
1787     fmem[1756] <= 8'b01111111;\r
1788     fmem[1757] <= 8'b01111111;\r
1789     fmem[1758] <= 8'b01111111;\r
1790     fmem[1759] <= 8'b01111111;\r
1791     fmem[1760] <= 8'b01110000;\r
1792     fmem[1761] <= 8'b01110000;\r
1793     fmem[1762] <= 8'b01110000;\r
1794     fmem[1763] <= 8'b01110000;\r
1795     fmem[1764] <= 8'b01110000;\r
1796     fmem[1765] <= 8'b01110000;\r
1797     fmem[1766] <= 8'b01110000;\r
1798     fmem[1767] <= 8'b01110000;\r
1799     fmem[1768] <= 8'b01111111;\r
1800     fmem[1769] <= 8'b01111111;\r
1801     fmem[1770] <= 8'b01111111;\r
1802     fmem[1771] <= 8'b01111111;\r
1803     fmem[1772] <= 8'b00000000;\r
1804     fmem[1773] <= 8'b00000000;\r
1805     fmem[1774] <= 8'b00000000;\r
1806     fmem[1775] <= 8'b00000000;\r
1807     fmem[1776] <= 8'b00000000;\r
1808     fmem[1777] <= 8'b00000000;\r
1809     fmem[1778] <= 8'b00000000;\r
1810     fmem[1779] <= 8'b00000000;\r
1811     fmem[1780] <= 8'b01111111;\r
1812     fmem[1781] <= 8'b01111111;\r
1813     fmem[1782] <= 8'b01111111;\r
1814     fmem[1783] <= 8'b01111111;\r
1815     fmem[1784] <= 8'b00001111;\r
1816     fmem[1785] <= 8'b00001111;\r
1817     fmem[1786] <= 8'b00001111;\r
1818     fmem[1787] <= 8'b00001111;\r
1819     fmem[1788] <= 8'b00001111;\r
1820     fmem[1789] <= 8'b00001111;\r
1821     fmem[1790] <= 8'b00001111;\r
1822     fmem[1791] <= 8'b00001111;\r
1823     fmem[1792] <= 8'b00000000;\r
1824     fmem[1793] <= 8'b00111000;\r
1825     fmem[1794] <= 8'b01111100;\r
1826     fmem[1795] <= 8'b01000100;\r
1827     fmem[1796] <= 8'b01101100;\r
1828     fmem[1797] <= 8'b00111100;\r
1829     fmem[1798] <= 8'b01111000;\r
1830     fmem[1799] <= 8'b01000100;\r
1831     fmem[1800] <= 8'b00000000;\r
1832     fmem[1801] <= 8'b01111110;\r
1833     fmem[1802] <= 8'b01111111;\r
1834     fmem[1803] <= 8'b00100001;\r
1835     fmem[1804] <= 8'b01100101;\r
1836     fmem[1805] <= 8'b01001111;\r
1837     fmem[1806] <= 8'b01111010;\r
1838     fmem[1807] <= 8'b00110000;\r
1839     fmem[1808] <= 8'b00000000;\r
1840     fmem[1809] <= 8'b01000001;\r
1841     fmem[1810] <= 8'b01111111;\r
1842     fmem[1811] <= 8'b01111111;\r
1843     fmem[1812] <= 8'b01000001;\r
1844     fmem[1813] <= 8'b00000001;\r
1845     fmem[1814] <= 8'b00000011;\r
1846     fmem[1815] <= 8'b00000111;\r
1847     fmem[1816] <= 8'b00000000;\r
1848     fmem[1817] <= 8'b01001100;\r
1849     fmem[1818] <= 8'b01100110;\r
1850     fmem[1819] <= 8'b00111110;\r
1851     fmem[1820] <= 8'b00000110;\r
1852     fmem[1821] <= 8'b01111110;\r
1853     fmem[1822] <= 8'b01100110;\r
1854     fmem[1823] <= 8'b00000010;\r
1855     fmem[1824] <= 8'b00000000;\r
1856     fmem[1825] <= 8'b01000001;\r
1857     fmem[1826] <= 8'b01100011;\r
1858     fmem[1827] <= 8'b01110111;\r
1859     fmem[1828] <= 8'b01011101;\r
1860     fmem[1829] <= 8'b01001001;\r
1861     fmem[1830] <= 8'b01100011;\r
1862     fmem[1831] <= 8'b01100011;\r
1863     fmem[1832] <= 8'b00000000;\r
1864     fmem[1833] <= 8'b00111000;\r
1865     fmem[1834] <= 8'b01111100;\r
1866     fmem[1835] <= 8'b01000100;\r
1867     fmem[1836] <= 8'b01111100;\r
1868     fmem[1837] <= 8'b00111100;\r
1869     fmem[1838] <= 8'b00000100;\r
1870     fmem[1839] <= 8'b00000100;\r
1871     fmem[1840] <= 8'b00000000;\r
1872     fmem[1841] <= 8'b01000000;\r
1873     fmem[1842] <= 8'b01111100;\r
1874     fmem[1843] <= 8'b00111100;\r
1875     fmem[1844] <= 8'b01000000;\r
1876     fmem[1845] <= 8'b01100000;\r
1877     fmem[1846] <= 8'b00111100;\r
1878     fmem[1847] <= 8'b01111100;\r
1879     fmem[1848] <= 8'b00000000;\r
1880     fmem[1849] <= 8'b00001100;\r
1881     fmem[1850] <= 8'b00000110;\r
1882     fmem[1851] <= 8'b00111110;\r
1883     fmem[1852] <= 8'b01110110;\r
1884     fmem[1853] <= 8'b01100110;\r
1885     fmem[1854] <= 8'b00000110;\r
1886     fmem[1855] <= 8'b00000010;\r
1887     fmem[1856] <= 8'b00000000;\r
1888     fmem[1857] <= 8'b00001000;\r
1889     fmem[1858] <= 8'b00011100;\r
1890     fmem[1859] <= 8'b01010101;\r
1891     fmem[1860] <= 8'b01111111;\r
1892     fmem[1861] <= 8'b01010101;\r
1893     fmem[1862] <= 8'b00011100;\r
1894     fmem[1863] <= 8'b00001000;\r
1895     fmem[1864] <= 8'b00000000;\r
1896     fmem[1865] <= 8'b00011100;\r
1897     fmem[1866] <= 8'b00111110;\r
1898     fmem[1867] <= 8'b01101011;\r
1899     fmem[1868] <= 8'b01001001;\r
1900     fmem[1869] <= 8'b01101011;\r
1901     fmem[1870] <= 8'b00111110;\r
1902     fmem[1871] <= 8'b00011100;\r
1903     fmem[1872] <= 8'b00000000;\r
1904     fmem[1873] <= 8'b01101100;\r
1905     fmem[1874] <= 8'b01011110;\r
1906     fmem[1875] <= 8'b01110011;\r
1907     fmem[1876] <= 8'b00000001;\r
1908     fmem[1877] <= 8'b01110011;\r
1909     fmem[1878] <= 8'b01011110;\r
1910     fmem[1879] <= 8'b01101100;\r
1911     fmem[1880] <= 8'b00000000;\r
1912     fmem[1881] <= 8'b00110000;\r
1913     fmem[1882] <= 8'b01111010;\r
1914     fmem[1883] <= 8'b01000111;\r
1915     fmem[1884] <= 8'b01001101;\r
1916     fmem[1885] <= 8'b01111001;\r
1917     fmem[1886] <= 8'b00110000;\r
1918     fmem[1887] <= 8'b00000000;\r
1919     fmem[1888] <= 8'b00000000;\r
1920     fmem[1889] <= 8'b00111100;\r
1921     fmem[1890] <= 8'b01100110;\r
1922     fmem[1891] <= 8'b01110110;\r
1923     fmem[1892] <= 8'b00111100;\r
1924     fmem[1893] <= 8'b01101110;\r
1925     fmem[1894] <= 8'b01100110;\r
1926     fmem[1895] <= 8'b00111100;\r
1927     fmem[1896] <= 8'b00000000;\r
1928     fmem[1897] <= 8'b00111000;\r
1929     fmem[1898] <= 8'b01111100;\r
1930     fmem[1899] <= 8'b01100100;\r
1931     fmem[1900] <= 8'b01010100;\r
1932     fmem[1901] <= 8'b01001100;\r
1933     fmem[1902] <= 8'b01111110;\r
1934     fmem[1903] <= 8'b00111011;\r
1935     fmem[1904] <= 8'b00000000;\r
1936     fmem[1905] <= 8'b00000000;\r
1937     fmem[1906] <= 8'b00111000;\r
1938     fmem[1907] <= 8'b01111100;\r
1939     fmem[1908] <= 8'b01010100;\r
1940     fmem[1909] <= 8'b01010100;\r
1941     fmem[1910] <= 8'b01010100;\r
1942     fmem[1911] <= 8'b00000000;\r
1943     fmem[1912] <= 8'b00000000;\r
1944     fmem[1913] <= 8'b01111110;\r
1945     fmem[1914] <= 8'b01111111;\r
1946     fmem[1915] <= 8'b00000001;\r
1947     fmem[1916] <= 8'b00000001;\r
1948     fmem[1917] <= 8'b01111111;\r
1949     fmem[1918] <= 8'b01111110;\r
1950     fmem[1919] <= 8'b00000000;\r
1951     fmem[1920] <= 8'b00000000;\r
1952     fmem[1921] <= 8'b00101010;\r
1953     fmem[1922] <= 8'b00101010;\r
1954     fmem[1923] <= 8'b00101010;\r
1955     fmem[1924] <= 8'b00101010;\r
1956     fmem[1925] <= 8'b00101010;\r
1957     fmem[1926] <= 8'b00101010;\r
1958     fmem[1927] <= 8'b00000000;\r
1959     fmem[1928] <= 8'b00000000;\r
1960     fmem[1929] <= 8'b01000100;\r
1961     fmem[1930] <= 8'b01000100;\r
1962     fmem[1931] <= 8'b01011111;\r
1963     fmem[1932] <= 8'b01011111;\r
1964     fmem[1933] <= 8'b01000100;\r
1965     fmem[1934] <= 8'b01000100;\r
1966     fmem[1935] <= 8'b00000000;\r
1967     fmem[1936] <= 8'b00000000;\r
1968     fmem[1937] <= 8'b01010001;\r
1969     fmem[1938] <= 8'b01011011;\r
1970     fmem[1939] <= 8'b01001010;\r
1971     fmem[1940] <= 8'b01001110;\r
1972     fmem[1941] <= 8'b01000100;\r
1973     fmem[1942] <= 8'b01000100;\r
1974     fmem[1943] <= 8'b00000000;\r
1975     fmem[1944] <= 8'b00000000;\r
1976     fmem[1945] <= 8'b01000100;\r
1977     fmem[1946] <= 8'b01000100;\r
1978     fmem[1947] <= 8'b01001110;\r
1979     fmem[1948] <= 8'b01001010;\r
1980     fmem[1949] <= 8'b01011011;\r
1981     fmem[1950] <= 8'b01010001;\r
1982     fmem[1951] <= 8'b00000000;\r
1983     fmem[1952] <= 8'b00000000;\r
1984     fmem[1953] <= 8'b00000000;\r
1985     fmem[1954] <= 8'b00000000;\r
1986     fmem[1955] <= 8'b01111110;\r
1987     fmem[1956] <= 8'b01111111;\r
1988     fmem[1957] <= 8'b00000001;\r
1989     fmem[1958] <= 8'b00000111;\r
1990     fmem[1959] <= 8'b00000010;\r
1991     fmem[1960] <= 8'b00100000;\r
1992     fmem[1961] <= 8'b01110000;\r
1993     fmem[1962] <= 8'b01000000;\r
1994     fmem[1963] <= 8'b01111111;\r
1995     fmem[1964] <= 8'b00111111;\r
1996     fmem[1965] <= 8'b00000000;\r
1997     fmem[1966] <= 8'b00000000;\r
1998     fmem[1967] <= 8'b00000000;\r
1999     fmem[1968] <= 8'b00000000;\r
2000     fmem[1969] <= 8'b00001000;\r
2001     fmem[1970] <= 8'b00001000;\r
2002     fmem[1971] <= 8'b01101011;\r
2003     fmem[1972] <= 8'b01101011;\r
2004     fmem[1973] <= 8'b00001000;\r
2005     fmem[1974] <= 8'b00001000;\r
2006     fmem[1975] <= 8'b00000000;\r
2007     fmem[1976] <= 8'b00000000;\r
2008     fmem[1977] <= 8'b00100100;\r
2009     fmem[1978] <= 8'b00110110;\r
2010     fmem[1979] <= 8'b00010010;\r
2011     fmem[1980] <= 8'b00110110;\r
2012     fmem[1981] <= 8'b00100100;\r
2013     fmem[1982] <= 8'b00110110;\r
2014     fmem[1983] <= 8'b00010010;\r
2015     fmem[1984] <= 8'b00000000;\r
2016     fmem[1985] <= 8'b00000000;\r
2017     fmem[1986] <= 8'b00000110;\r
2018     fmem[1987] <= 8'b00001111;\r
2019     fmem[1988] <= 8'b00001001;\r
2020     fmem[1989] <= 8'b00001111;\r
2021     fmem[1990] <= 8'b00000110;\r
2022     fmem[1991] <= 8'b00000000;\r
2023     fmem[1992] <= 8'b00000000;\r
2024     fmem[1993] <= 8'b00000000;\r
2025     fmem[1994] <= 8'b00001000;\r
2026     fmem[1995] <= 8'b00011100;\r
2027     fmem[1996] <= 8'b00011100;\r
2028     fmem[1997] <= 8'b00001000;\r
2029     fmem[1998] <= 8'b00000000;\r
2030     fmem[1999] <= 8'b00000000;\r
2031     fmem[2000] <= 8'b00000000;\r
2032     fmem[2001] <= 8'b00000000;\r
2033     fmem[2002] <= 8'b00000000;\r
2034     fmem[2003] <= 8'b00001000;\r
2035     fmem[2004] <= 8'b00001000;\r
2036     fmem[2005] <= 8'b00000000;\r
2037     fmem[2006] <= 8'b00000000;\r
2038     fmem[2007] <= 8'b00000000;\r
2039     fmem[2008] <= 8'b00000000;\r
2040     fmem[2009] <= 8'b00010000;\r
2041     fmem[2010] <= 8'b00111000;\r
2042     fmem[2011] <= 8'b01100000;\r
2043     fmem[2012] <= 8'b01110000;\r
2044     fmem[2013] <= 8'b00011100;\r
2045     fmem[2014] <= 8'b00000111;\r
2046     fmem[2015] <= 8'b00000001;\r
2047     fmem[2016] <= 8'b00000000;\r
2048     fmem[2017] <= 8'b00000001;\r
2049     fmem[2018] <= 8'b00011111;\r
2050     fmem[2019] <= 8'b00011111;\r
2051     fmem[2020] <= 8'b00000010;\r
2052     fmem[2021] <= 8'b00000001;\r
2053     fmem[2022] <= 8'b00011111;\r
2054     fmem[2023] <= 8'b00011110;\r
2055     fmem[2024] <= 8'b00000000;\r
2056     fmem[2025] <= 8'b00010010;\r
2057     fmem[2026] <= 8'b00011011;\r
2058     fmem[2027] <= 8'b00011001;\r
2059     fmem[2028] <= 8'b00011101;\r
2060     fmem[2029] <= 8'b00010111;\r
2061     fmem[2030] <= 8'b00010010;\r
2062     fmem[2031] <= 8'b00000000;\r
2063     fmem[2032] <= 8'b00000000;\r
2064     fmem[2033] <= 8'b00000000;\r
2065     fmem[2034] <= 8'b00111100;\r
2066     fmem[2035] <= 8'b00111100;\r
2067     fmem[2036] <= 8'b00111100;\r
2068     fmem[2037] <= 8'b00111100;\r
2069     fmem[2038] <= 8'b00000000;\r
2070     fmem[2039] <= 8'b00000000;\r
2071     fmem[2040] <= 0;\r
2072     fmem[2041] <= 0;\r
2073     fmem[2042] <= 0;\r
2074     fmem[2043] <= 0;\r
2075     fmem[2044] <= 0;\r
2076     fmem[2045] <= 0;\r
2077     fmem[2046] <= 0;\r
2078     fmem[2047] <= 0;\r
2079 end\r
2080 endmodule\r
2081 /*\r
2082  Produced by NSL Core(version=20110302), IP ARCH, Inc. Sun Dec 25 19:49:01 2011\r
2083  Licensed to :LIMITED EVALUATION USER:\r
2084 */\r
2085 \r
2086 module from_ctrl ( p_reset , m_clock , i_word_adrs , i_line_adrs , i_code_num , fi_write_word , fi_delete_word , fi_delete_line , fi_delete_display , fi_slide_line , o_vram_adrs , o_vram_wdata , i_vram_rdata , fo_write_vram , fo_read_vram , busy_call );\r
2087   input p_reset, m_clock;\r
2088   input [5:0] i_word_adrs;\r
2089   input [4:0] i_line_adrs;\r
2090   input [7:0] i_code_num;\r
2091   input fi_write_word;\r
2092   input fi_delete_word;\r
2093   input fi_delete_line;\r
2094   input fi_delete_display;\r
2095   input fi_slide_line;\r
2096   output [13:0] o_vram_adrs;\r
2097   output [7:0] o_vram_wdata;\r
2098   input [7:0] i_vram_rdata;\r
2099   output fo_write_vram;\r
2100   output fo_read_vram;\r
2101   output busy_call;\r
2102   wire [7:0] w_code_num;\r
2103   wire [63:0] w_font_data;\r
2104   wire [5:0] w_word_adrs;\r
2105   wire [4:0] w_line_adrs;\r
2106   wire [13:0] w_vram_adrs;\r
2107   wire fs_font_read;\r
2108   wire fs_adrs_exec;\r
2109   wire fs_complete_call;\r
2110   wire [13:0] w_line_value;\r
2111   reg [63:0] r_word;\r
2112   reg [13:0] r_start_adrs;\r
2113   reg [13:0] r_cnt;\r
2114   reg busy_flag;\r
2115   wire [13:0] _net_10;\r
2116   wire [13:0] _net_13;\r
2117   wire [13:0] _net_16;\r
2118   wire [13:0] _net_19;\r
2119   wire [7:0] _U_FONT_ROM_i_code_num;\r
2120   wire [63:0] _U_FONT_ROM_o_font_data;\r
2121   wire _U_FONT_ROM_fi_font_read;\r
2122   wire _U_FONT_ROM_p_reset;\r
2123   wire _U_FONT_ROM_m_clock;\r
2124   wire _net_20;\r
2125   wire _net_21;\r
2126   wire _net_22;\r
2127   reg _reg_23;\r
2128   reg _reg_24;\r
2129   reg _reg_25;\r
2130   reg _reg_26;\r
2131   reg _reg_27;\r
2132   reg _reg_28;\r
2133   wire _net_29;\r
2134   wire _reg_24_goto;\r
2135   wire _net_30;\r
2136   wire _reg_26_goin;\r
2137   wire _net_31;\r
2138   wire _net_32;\r
2139   wire _reg_26_goto;\r
2140   wire _net_33;\r
2141   wire _reg_23_goin;\r
2142   wire _net_34;\r
2143   wire _net_35;\r
2144   wire _net_36;\r
2145   wire _net_37;\r
2146   wire _net_38;\r
2147   wire _net_39;\r
2148   wire _net_40;\r
2149   wire _net_41;\r
2150   wire _net_42;\r
2151   wire _net_43;\r
2152   wire _net_44;\r
2153   reg _reg_45;\r
2154   reg _reg_46;\r
2155   reg _reg_47;\r
2156   reg _reg_48;\r
2157   reg _reg_49;\r
2158   wire _net_50;\r
2159   wire _reg_46_goto;\r
2160   wire _net_51;\r
2161   wire _reg_47_goin;\r
2162   wire _net_52;\r
2163   wire _net_53;\r
2164   wire _reg_47_goto;\r
2165   wire _net_54;\r
2166   wire _reg_45_goin;\r
2167   wire _net_55;\r
2168   wire _net_56;\r
2169   wire _net_57;\r
2170   wire _net_58;\r
2171   wire _net_59;\r
2172   wire _net_60;\r
2173   wire _net_61;\r
2174   wire _net_62;\r
2175   wire _net_63;\r
2176   reg _reg_64;\r
2177   reg _reg_65;\r
2178   reg _reg_66;\r
2179   reg _reg_67;\r
2180   reg _reg_68;\r
2181   wire _net_69;\r
2182   wire _reg_65_goto;\r
2183   wire _net_70;\r
2184   wire _reg_66_goin;\r
2185   wire _net_71;\r
2186   wire _net_72;\r
2187   wire _reg_66_goto;\r
2188   wire _net_73;\r
2189   wire _reg_64_goin;\r
2190   wire _net_74;\r
2191   wire _net_75;\r
2192   wire _net_76;\r
2193   wire _net_77;\r
2194   wire _net_78;\r
2195   wire _net_79;\r
2196   wire _net_80;\r
2197   wire _net_81;\r
2198   wire _net_82;\r
2199   reg _reg_83;\r
2200   reg _reg_84;\r
2201   reg _reg_85;\r
2202   wire _net_86;\r
2203   wire _reg_84_goto;\r
2204   wire _net_87;\r
2205   wire _reg_83_goin;\r
2206   wire _net_88;\r
2207   wire _net_89;\r
2208   wire _net_90;\r
2209   wire _net_91;\r
2210   wire _net_92;\r
2211   wire _net_93;\r
2212   wire _reg_84_goin;\r
2213   wire _net_94;\r
2214   wire _net_95;\r
2215   wire _net_96;\r
2216   wire _net_97;\r
2217   wire _net_98;\r
2218   wire _net_99;\r
2219   wire _net_100;\r
2220   wire _net_101;\r
2221   wire _net_102;\r
2222   wire _net_103;\r
2223   wire _net_104;\r
2224   wire _net_105;\r
2225   wire _net_106;\r
2226   wire _net_107;\r
2227   wire _net_108;\r
2228   wire _net_109;\r
2229   wire _net_110;\r
2230   wire _net_111;\r
2231   wire _net_112;\r
2232   wire _net_113;\r
2233   wire _net_114;\r
2234   wire _net_115;\r
2235   wire _net_116;\r
2236   wire _net_117;\r
2237   wire _net_118;\r
2238   wire _net_119;\r
2239   wire _net_120;\r
2240   wire _net_121;\r
2241   wire _net_122;\r
2242   wire _net_123;\r
2243   wire _net_124;\r
2244   wire _net_125;\r
2245   wire _net_126;\r
2246   wire _net_127;\r
2247   wire _net_128;\r
2248   wire _net_129;\r
2249   wire _net_130;\r
2250   wire _net_131;\r
2251   wire _net_132;\r
2252   wire _net_133;\r
2253   wire _net_134;\r
2254   wire _net_135;\r
2255   wire _net_136;\r
2256   wire _net_137;\r
2257   wire _net_138;\r
2258   wire _net_139;\r
2259   wire _net_140;\r
2260   wire _net_141;\r
2261   wire _net_142;\r
2262   wire _net_143;\r
2263   wire _net_144;\r
2264   wire _net_145;\r
2265   wire _net_146;\r
2266   wire _net_147;\r
2267   wire _net_148;\r
2268   wire _net_149;\r
2269   wire _net_150;\r
2270   wire _net_151;\r
2271   wire _net_152;\r
2272   wire _net_153;\r
2273   wire _net_154;\r
2274   wire _net_155;\r
2275   wire _net_156;\r
2276   wire _net_157;\r
2277   wire _net_158;\r
2278   wire _net_159;\r
2279 font_rom U_FONT_ROM (.p_reset(p_reset), .m_clock(m_clock), .fi_font_read(_U_FONT_ROM_fi_font_read), .o_font_data(_U_FONT_ROM_o_font_data), .i_code_num(_U_FONT_ROM_i_code_num));\r
2280 \r
2281    assign  w_code_num = i_code_num;\r
2282    assign  w_font_data = _U_FONT_ROM_o_font_data;\r
2283    assign  w_word_adrs = ((_net_78)?6'b000000:6'b0)|\r
2284     ((_net_59|_net_39)?i_word_adrs:6'b0);\r
2285    assign  w_line_adrs = i_line_adrs;\r
2286    assign  w_vram_adrs = (w_line_value)+({8'b00000000,w_word_adrs});\r
2287    assign  fs_font_read = _net_38;\r
2288    assign  fs_adrs_exec = _net_78|_net_59|_net_39;\r
2289    assign  fs_complete_call = _reg_83|_reg_64|_reg_45|_reg_23;\r
2290    assign  w_line_value = ((_net_159)?14'b10010001000000:14'b0)|\r
2291     ((_net_158)?14'b00000000000000:14'b0)|\r
2292     ((_net_156)?14'b00000101000000:14'b0)|\r
2293     ((_net_154)?14'b00001010000000:14'b0)|\r
2294     ((_net_152)?14'b00001111000000:14'b0)|\r
2295     ((_net_150)?14'b00010100000000:14'b0)|\r
2296     ((_net_148)?14'b00011001000000:14'b0)|\r
2297     ((_net_146)?14'b00011110000000:14'b0)|\r
2298     ((_net_144)?14'b00100011000000:14'b0)|\r
2299     ((_net_142)?14'b00101000000000:14'b0)|\r
2300     ((_net_140)?14'b00101101000000:14'b0)|\r
2301     ((_net_138)?14'b00110010000000:14'b0)|\r
2302     ((_net_136)?14'b00110111000000:14'b0)|\r
2303     ((_net_134)?14'b00111100000000:14'b0)|\r
2304     ((_net_132)?14'b01000001000000:14'b0)|\r
2305     ((_net_130)?14'b01000110000000:14'b0)|\r
2306     ((_net_128)?14'b01001011000000:14'b0)|\r
2307     ((_net_126)?14'b01010000000000:14'b0)|\r
2308     ((_net_124)?14'b01010101000000:14'b0)|\r
2309     ((_net_122)?14'b01011010000000:14'b0)|\r
2310     ((_net_120)?14'b01011111000000:14'b0)|\r
2311     ((_net_118)?14'b01100100000000:14'b0)|\r
2312     ((_net_116)?14'b01101001000000:14'b0)|\r
2313     ((_net_114)?14'b01101110000000:14'b0)|\r
2314     ((_net_112)?14'b01110011000000:14'b0)|\r
2315     ((_net_110)?14'b01111000000000:14'b0)|\r
2316     ((_net_108)?14'b01111101000000:14'b0)|\r
2317     ((_net_106)?14'b10000010000000:14'b0)|\r
2318     ((_net_104)?14'b10000111000000:14'b0)|\r
2319     ((_net_102)?14'b10001100000000:14'b0);\r
2320    assign  _net_10 = (r_cnt)+(14'b00000000000001);\r
2321    assign  _net_13 = (r_cnt)+(14'b00000000000001);\r
2322    assign  _net_16 = (r_cnt)+(14'b00000000000001);\r
2323    assign  _net_19 = (r_cnt)+(14'b00000000000001);\r
2324    assign  _U_FONT_ROM_i_code_num = w_code_num;\r
2325    assign  _U_FONT_ROM_fi_font_read = fs_font_read;\r
2326    assign  _net_20 = ((((fi_write_word|fi_delete_word)|fi_delete_line)|fi_delete_display)|fi_slide_line)|busy_flag;\r
2327    assign  _net_21 = (((fi_write_word|fi_delete_word)|fi_delete_line)|fi_delete_display)|fi_slide_line;\r
2328    assign  _net_22 = (~_net_21)&fs_complete_call;\r
2329    assign  _net_29 = (_net_10) <= (14'b00000000000111);\r
2330    assign  _reg_24_goto = _net_30;\r
2331    assign  _net_30 = _reg_24&_net_29;\r
2332    assign  _reg_26_goin = _net_31;\r
2333    assign  _net_31 = _reg_24&_net_29;\r
2334    assign  _net_32 = ~((r_cnt) <= (14'b00000000000111));\r
2335    assign  _reg_26_goto = _net_33;\r
2336    assign  _net_33 = _reg_26&_net_32;\r
2337    assign  _reg_23_goin = _net_34;\r
2338    assign  _net_34 = _reg_26&_net_32;\r
2339    assign  _net_35 = _reg_26&(~_net_32);\r
2340    assign  _net_36 = _reg_26&(~_net_32);\r
2341    assign  _net_37 = _reg_26&(~_net_32);\r
2342    assign  _net_38 = fi_write_word|_reg_28;\r
2343    assign  _net_39 = fi_write_word|_reg_28;\r
2344    assign  _net_40 = fi_write_word|_reg_27|_reg_28;\r
2345    assign  _net_41 = _reg_26_goin|_reg_26|_reg_27;\r
2346    assign  _net_42 = _reg_26_goin|_reg_25|_reg_26;\r
2347    assign  _net_43 = _reg_26_goin|_reg_24|_reg_25;\r
2348    assign  _net_44 = _reg_23_goin|_reg_23|_reg_24;\r
2349    assign  _net_50 = (_net_13) <= (14'b00000000000111);\r
2350    assign  _reg_46_goto = _net_51;\r
2351    assign  _net_51 = _reg_46&_net_50;\r
2352    assign  _reg_47_goin = _net_52;\r
2353    assign  _net_52 = _reg_46&_net_50;\r
2354    assign  _net_53 = ~((r_cnt) <= (14'b00000000000111));\r
2355    assign  _reg_47_goto = _net_54;\r
2356    assign  _net_54 = _reg_47&_net_53;\r
2357    assign  _reg_45_goin = _net_55;\r
2358    assign  _net_55 = _reg_47&_net_53;\r
2359    assign  _net_56 = _reg_47&(~_net_53);\r
2360    assign  _net_57 = _reg_47&(~_net_53);\r
2361    assign  _net_58 = _reg_47&(~_net_53);\r
2362    assign  _net_59 = fi_delete_word|_reg_49;\r
2363    assign  _net_60 = fi_delete_word|_reg_48|_reg_49;\r
2364    assign  _net_61 = _reg_47_goin|_reg_47|_reg_48;\r
2365    assign  _net_62 = _reg_47_goin|_reg_46|_reg_47;\r
2366    assign  _net_63 = _reg_45_goin|_reg_45|_reg_46;\r
2367    assign  _net_69 = (_net_16) < (14'b00000101000000);\r
2368    assign  _reg_65_goto = _net_70;\r
2369    assign  _net_70 = _reg_65&_net_69;\r
2370    assign  _reg_66_goin = _net_71;\r
2371    assign  _net_71 = _reg_65&_net_69;\r
2372    assign  _net_72 = ~((r_cnt) < (14'b00000101000000));\r
2373    assign  _reg_66_goto = _net_73;\r
2374    assign  _net_73 = _reg_66&_net_72;\r
2375    assign  _reg_64_goin = _net_74;\r
2376    assign  _net_74 = _reg_66&_net_72;\r
2377    assign  _net_75 = _reg_66&(~_net_72);\r
2378    assign  _net_76 = _reg_66&(~_net_72);\r
2379    assign  _net_77 = _reg_66&(~_net_72);\r
2380    assign  _net_78 = fi_delete_line|_reg_68;\r
2381    assign  _net_79 = fi_delete_line|_reg_67|_reg_68;\r
2382    assign  _net_80 = _reg_66_goin|_reg_66|_reg_67;\r
2383    assign  _net_81 = _reg_66_goin|_reg_65|_reg_66;\r
2384    assign  _net_82 = _reg_64_goin|_reg_64|_reg_65;\r
2385    assign  _net_86 = ~((r_cnt) < (14'b10010110000000));\r
2386    assign  _reg_84_goto = _net_93|_net_87;\r
2387    assign  _net_87 = _reg_84&_net_86;\r
2388    assign  _reg_83_goin = _net_88;\r
2389    assign  _net_88 = _reg_84&_net_86;\r
2390    assign  _net_89 = _reg_84&(~_net_86);\r
2391    assign  _net_90 = _reg_84&(~_net_86);\r
2392    assign  _net_91 = (_net_19) < (14'b10010110000000);\r
2393    assign  _net_92 = _reg_84&(~_net_86);\r
2394    assign  _net_93 = (_reg_84&(~_net_86))&_net_91;\r
2395    assign  _reg_84_goin = _net_94;\r
2396    assign  _net_94 = (_reg_84&(~_net_86))&_net_91;\r
2397    assign  _net_95 = _reg_84&(~_net_86);\r
2398    assign  _net_96 = _reg_84&(~_net_86);\r
2399    assign  _net_97 = _reg_84&(~_net_86);\r
2400    assign  _net_98 = fi_delete_display|_reg_85;\r
2401    assign  _net_99 = (_reg_84_goin|fi_delete_display)|_reg_84|_reg_85;\r
2402    assign  _net_100 = _reg_83_goin|_reg_83|_reg_84;\r
2403    assign  _net_101 = (w_line_adrs)==(5'b11100);\r
2404    assign  _net_102 = fs_adrs_exec&_net_101;\r
2405    assign  _net_103 = (w_line_adrs)==(5'b11011);\r
2406    assign  _net_104 = fs_adrs_exec&_net_103;\r
2407    assign  _net_105 = (w_line_adrs)==(5'b11010);\r
2408    assign  _net_106 = fs_adrs_exec&_net_105;\r
2409    assign  _net_107 = (w_line_adrs)==(5'b11001);\r
2410    assign  _net_108 = fs_adrs_exec&_net_107;\r
2411    assign  _net_109 = (w_line_adrs)==(5'b11000);\r
2412    assign  _net_110 = fs_adrs_exec&_net_109;\r
2413    assign  _net_111 = (w_line_adrs)==(5'b10111);\r
2414    assign  _net_112 = fs_adrs_exec&_net_111;\r
2415    assign  _net_113 = (w_line_adrs)==(5'b10110);\r
2416    assign  _net_114 = fs_adrs_exec&_net_113;\r
2417    assign  _net_115 = (w_line_adrs)==(5'b10101);\r
2418    assign  _net_116 = fs_adrs_exec&_net_115;\r
2419    assign  _net_117 = (w_line_adrs)==(5'b10100);\r
2420    assign  _net_118 = fs_adrs_exec&_net_117;\r
2421    assign  _net_119 = (w_line_adrs)==(5'b10011);\r
2422    assign  _net_120 = fs_adrs_exec&_net_119;\r
2423    assign  _net_121 = (w_line_adrs)==(5'b10010);\r
2424    assign  _net_122 = fs_adrs_exec&_net_121;\r
2425    assign  _net_123 = (w_line_adrs)==(5'b10001);\r
2426    assign  _net_124 = fs_adrs_exec&_net_123;\r
2427    assign  _net_125 = (w_line_adrs)==(5'b10000);\r
2428    assign  _net_126 = fs_adrs_exec&_net_125;\r
2429    assign  _net_127 = (w_line_adrs)==(5'b01111);\r
2430    assign  _net_128 = fs_adrs_exec&_net_127;\r
2431    assign  _net_129 = (w_line_adrs)==(5'b01110);\r
2432    assign  _net_130 = fs_adrs_exec&_net_129;\r
2433    assign  _net_131 = (w_line_adrs)==(5'b01101);\r
2434    assign  _net_132 = fs_adrs_exec&_net_131;\r
2435    assign  _net_133 = (w_line_adrs)==(5'b01100);\r
2436    assign  _net_134 = fs_adrs_exec&_net_133;\r
2437    assign  _net_135 = (w_line_adrs)==(5'b01011);\r
2438    assign  _net_136 = fs_adrs_exec&_net_135;\r
2439    assign  _net_137 = (w_line_adrs)==(5'b01010);\r
2440    assign  _net_138 = fs_adrs_exec&_net_137;\r
2441    assign  _net_139 = (w_line_adrs)==(5'b01001);\r
2442    assign  _net_140 = fs_adrs_exec&_net_139;\r
2443    assign  _net_141 = (w_line_adrs)==(5'b01000);\r
2444    assign  _net_142 = fs_adrs_exec&_net_141;\r
2445    assign  _net_143 = (w_line_adrs)==(5'b00111);\r
2446    assign  _net_144 = fs_adrs_exec&_net_143;\r
2447    assign  _net_145 = (w_line_adrs)==(5'b00110);\r
2448    assign  _net_146 = fs_adrs_exec&_net_145;\r
2449    assign  _net_147 = (w_line_adrs)==(5'b00101);\r
2450    assign  _net_148 = fs_adrs_exec&_net_147;\r
2451    assign  _net_149 = (w_line_adrs)==(5'b00100);\r
2452    assign  _net_150 = fs_adrs_exec&_net_149;\r
2453    assign  _net_151 = (w_line_adrs)==(5'b00011);\r
2454    assign  _net_152 = fs_adrs_exec&_net_151;\r
2455    assign  _net_153 = (w_line_adrs)==(5'b00010);\r
2456    assign  _net_154 = fs_adrs_exec&_net_153;\r
2457    assign  _net_155 = (w_line_adrs)==(5'b00001);\r
2458    assign  _net_156 = fs_adrs_exec&_net_155;\r
2459    assign  _net_157 = (w_line_adrs)==(5'b00000);\r
2460    assign  _net_158 = fs_adrs_exec&_net_157;\r
2461    assign  _net_159 = ((((((((((((((((((((((((((((fs_adrs_exec&(~_net_101))&(~_net_103))&(~_net_105))&(~_net_107))&(~_net_109))&(~_net_111))&(~_net_113))&(~_net_115))&(~_net_117))&(~_net_119))&(~_net_121))&(~_net_123))&(~_net_125))&(~_net_127))&(~_net_129))&(~_net_131))&(~_net_133))&(~_net_135))&(~_net_137))&(~_net_139))&(~_net_141))&(~_net_143))&(~_net_145))&(~_net_147))&(~_net_149))&(~_net_151))&(~_net_153))&(~_net_155))&(~_net_157);\r
2462    assign  o_vram_adrs = ((_net_97)?r_cnt:14'b0)|\r
2463     ((_net_77|_net_58|_net_37|_reg_25)?r_start_adrs:14'b0);\r
2464    assign  o_vram_wdata = ((_net_96|_net_76|_net_57|_net_36)?8'b00000000:8'b0)|\r
2465     ((_reg_25)?r_word[63:56]:8'b0);\r
2466    assign  fo_write_vram = _net_95|_net_75|_net_56|_net_35|_reg_25;\r
2467    assign  fo_read_vram = 1'b0;\r
2468    assign  busy_call = _net_20;\r
2469 always @(posedge m_clock or posedge p_reset)\r
2470   begin\r
2471 if (p_reset)\r
2472      r_word <= 64'b0000000000000000000000000000000000000000000000000000000000000000;\r
2473 else if ((_net_38)|(_reg_24)) \r
2474       r_word <= ((_net_38) ?w_font_data:64'b0)|\r
2475     ((_reg_24) ?(r_word)<<(8):64'b0);\r
2476 \r
2477 end\r
2478 always @(posedge m_clock or posedge p_reset)\r
2479   begin\r
2480 if (p_reset)\r
2481      r_start_adrs <= 14'b00000000000000;\r
2482 else if ((_reg_65)|(_net_78|_net_59|_net_39)|(_reg_46|_reg_24)) \r
2483       r_start_adrs <= ((_reg_65) ?(r_start_adrs)+(14'b00000000000001):14'b0)|\r
2484     ((_net_78|_net_59|_net_39) ?w_vram_adrs:14'b0)|\r
2485     ((_reg_46|_reg_24) ?(r_start_adrs)+(14'b00000000101000):14'b0);\r
2486 \r
2487 end\r
2488 always @(posedge m_clock or posedge p_reset)\r
2489   begin\r
2490 if (p_reset)\r
2491      r_cnt <= 14'b00000000000000;\r
2492 else if ((_net_89)|(_reg_65)|(_reg_46)|(_net_98|_reg_67|_reg_48|_reg_27)|(_reg_24)) \r
2493       r_cnt <= ((_net_89) ?_net_19:14'b0)|\r
2494     ((_reg_65) ?_net_16:14'b0)|\r
2495     ((_reg_46) ?_net_13:14'b0)|\r
2496     ((_net_98|_reg_67|_reg_48|_reg_27) ?14'b00000000000000:14'b0)|\r
2497     ((_reg_24) ?_net_10:14'b0);\r
2498 \r
2499 end\r
2500 always @(posedge m_clock or posedge p_reset)\r
2501   begin\r
2502 if (p_reset)\r
2503      busy_flag <= 1'b0;\r
2504 else if ((_net_22)|(_net_21)) \r
2505       busy_flag <= ((_net_22) ?1'b0:1'b0)|\r
2506     ((_net_21) ?1'b1:1'b0);\r
2507 \r
2508 end\r
2509 always @(posedge m_clock or posedge p_reset)\r
2510   begin\r
2511 if (p_reset)\r
2512      _reg_23 <= 1'b0;\r
2513 else if ((_net_44)) \r
2514       _reg_23 <= _reg_23_goin|(_reg_24&(~_reg_24_goto));\r
2515 end\r
2516 always @(posedge m_clock or posedge p_reset)\r
2517   begin\r
2518 if (p_reset)\r
2519      _reg_24 <= 1'b0;\r
2520 else if ((_net_43)) \r
2521       _reg_24 <= _reg_25;\r
2522 end\r
2523 always @(posedge m_clock or posedge p_reset)\r
2524   begin\r
2525 if (p_reset)\r
2526      _reg_25 <= 1'b0;\r
2527 else if ((_net_42)) \r
2528       _reg_25 <= _reg_26&(~_reg_26_goto);\r
2529 end\r
2530 always @(posedge m_clock or posedge p_reset)\r
2531   begin\r
2532 if (p_reset)\r
2533      _reg_26 <= 1'b0;\r
2534 else if ((_net_41)) \r
2535       _reg_26 <= _reg_26_goin|_reg_27;\r
2536 end\r
2537 always @(posedge m_clock or posedge p_reset)\r
2538   begin\r
2539 if (p_reset)\r
2540      _reg_27 <= 1'b0;\r
2541 else if ((_net_40)) \r
2542       _reg_27 <= _reg_28|fi_write_word;\r
2543 end\r
2544 always @(posedge m_clock or posedge p_reset)\r
2545   begin\r
2546 if (p_reset)\r
2547      _reg_28 <= 1'b0;\r
2548 else if ((_reg_28)) \r
2549       _reg_28 <= 1'b0;\r
2550 end\r
2551 always @(posedge m_clock or posedge p_reset)\r
2552   begin\r
2553 if (p_reset)\r
2554      _reg_45 <= 1'b0;\r
2555 else if ((_net_63)) \r
2556       _reg_45 <= _reg_45_goin|(_reg_46&(~_reg_46_goto));\r
2557 end\r
2558 always @(posedge m_clock or posedge p_reset)\r
2559   begin\r
2560 if (p_reset)\r
2561      _reg_46 <= 1'b0;\r
2562 else if ((_net_62)) \r
2563       _reg_46 <= _reg_47&(~_reg_47_goto);\r
2564 end\r
2565 always @(posedge m_clock or posedge p_reset)\r
2566   begin\r
2567 if (p_reset)\r
2568      _reg_47 <= 1'b0;\r
2569 else if ((_net_61)) \r
2570       _reg_47 <= _reg_47_goin|_reg_48;\r
2571 end\r
2572 always @(posedge m_clock or posedge p_reset)\r
2573   begin\r
2574 if (p_reset)\r
2575      _reg_48 <= 1'b0;\r
2576 else if ((_net_60)) \r
2577       _reg_48 <= _reg_49|fi_delete_word;\r
2578 end\r
2579 always @(posedge m_clock or posedge p_reset)\r
2580   begin\r
2581 if (p_reset)\r
2582      _reg_49 <= 1'b0;\r
2583 else if ((_reg_49)) \r
2584       _reg_49 <= 1'b0;\r
2585 end\r
2586 always @(posedge m_clock or posedge p_reset)\r
2587   begin\r
2588 if (p_reset)\r
2589      _reg_64 <= 1'b0;\r
2590 else if ((_net_82)) \r
2591       _reg_64 <= _reg_64_goin|(_reg_65&(~_reg_65_goto));\r
2592 end\r
2593 always @(posedge m_clock or posedge p_reset)\r
2594   begin\r
2595 if (p_reset)\r
2596      _reg_65 <= 1'b0;\r
2597 else if ((_net_81)) \r
2598       _reg_65 <= _reg_66&(~_reg_66_goto);\r
2599 end\r
2600 always @(posedge m_clock or posedge p_reset)\r
2601   begin\r
2602 if (p_reset)\r
2603      _reg_66 <= 1'b0;\r
2604 else if ((_net_80)) \r
2605       _reg_66 <= _reg_66_goin|_reg_67;\r
2606 end\r
2607 always @(posedge m_clock or posedge p_reset)\r
2608   begin\r
2609 if (p_reset)\r
2610      _reg_67 <= 1'b0;\r
2611 else if ((_net_79)) \r
2612       _reg_67 <= _reg_68|fi_delete_line;\r
2613 end\r
2614 always @(posedge m_clock or posedge p_reset)\r
2615   begin\r
2616 if (p_reset)\r
2617      _reg_68 <= 1'b0;\r
2618 else if ((_reg_68)) \r
2619       _reg_68 <= 1'b0;\r
2620 end\r
2621 always @(posedge m_clock or posedge p_reset)\r
2622   begin\r
2623 if (p_reset)\r
2624      _reg_83 <= 1'b0;\r
2625 else if ((_net_100)) \r
2626       _reg_83 <= _reg_83_goin|(_reg_84&(~_reg_84_goto));\r
2627 end\r
2628 always @(posedge m_clock or posedge p_reset)\r
2629   begin\r
2630 if (p_reset)\r
2631      _reg_84 <= 1'b0;\r
2632 else if ((_net_99)) \r
2633       _reg_84 <= (_reg_84_goin|_reg_85)|fi_delete_display;\r
2634 end\r
2635 always @(posedge m_clock or posedge p_reset)\r
2636   begin\r
2637 if (p_reset)\r
2638      _reg_85 <= 1'b0;\r
2639 else if ((_reg_85)) \r
2640       _reg_85 <= 1'b0;\r
2641 end\r
2642 endmodule\r
2643 /*\r
2644  Produced by NSL Core(version=20110302), IP ARCH, Inc. Sun Dec 25 19:49:03 2011\r
2645  Licensed to :LIMITED EVALUATION USER:\r
2646 */\r