OSDN Git Service

b251976e3c7c2b766b003c0bf7861a88ea794187
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0-cv-analyze-all.stp
1 <session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: 5CE(BA4|FA4) (0x02B050DD)" sof_file="output_files/de0_cv_nes.sof">\r
2   <display_tree gui_logging_enabled="0">\r
3     <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
4   </display_tree>\r
5   <instance enabled="true" entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
6     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
7     <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/05/28 18:21:54  #0">\r
8       <clock name="dbg_base_clk" polarity="posedge" tap_mode="classic"/>\r
9       <config ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="8192" trigger_in_enable="no" trigger_out_enable="no"/>\r
10       <top_entity/>\r
11       <signal_vec>\r
12         <trigger_input_vec>\r
13           <wire name="b[0]" tap_mode="classic"/>\r
14           <wire name="b[1]" tap_mode="classic"/>\r
15           <wire name="b[2]" tap_mode="classic"/>\r
16           <wire name="b[3]" tap_mode="classic"/>\r
17           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" tap_mode="classic"/>\r
18           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" tap_mode="classic"/>\r
19           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" tap_mode="classic"/>\r
20           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" tap_mode="classic"/>\r
21           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" tap_mode="classic"/>\r
22           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" tap_mode="classic"/>\r
23           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" tap_mode="classic"/>\r
24           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" tap_mode="classic"/>\r
25           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" tap_mode="classic"/>\r
26           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" tap_mode="classic"/>\r
27           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" tap_mode="classic"/>\r
28           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" tap_mode="classic"/>\r
29           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" tap_mode="classic"/>\r
30           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" tap_mode="classic"/>\r
31           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" tap_mode="classic"/>\r
32           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" tap_mode="classic"/>\r
33           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" tap_mode="classic"/>\r
34           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" tap_mode="classic"/>\r
35           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" tap_mode="classic"/>\r
36           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" tap_mode="classic"/>\r
37           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" tap_mode="classic"/>\r
38           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" tap_mode="classic"/>\r
39           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" tap_mode="classic"/>\r
40           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" tap_mode="classic"/>\r
41           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" tap_mode="classic"/>\r
42           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" tap_mode="classic"/>\r
43           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" tap_mode="classic"/>\r
44           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" tap_mode="classic"/>\r
45           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" tap_mode="classic"/>\r
46           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" tap_mode="classic"/>\r
47           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" tap_mode="classic"/>\r
48           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" tap_mode="classic"/>\r
49           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" tap_mode="classic"/>\r
50           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" tap_mode="classic"/>\r
51           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" tap_mode="classic"/>\r
52           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" tap_mode="classic"/>\r
53           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" tap_mode="classic"/>\r
54           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" tap_mode="classic"/>\r
55           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" tap_mode="classic"/>\r
56           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" tap_mode="classic"/>\r
57           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" tap_mode="classic"/>\r
58           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" tap_mode="classic"/>\r
59           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" tap_mode="classic"/>\r
60           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" tap_mode="classic"/>\r
61           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" tap_mode="classic"/>\r
62           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" tap_mode="classic"/>\r
63           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" tap_mode="classic"/>\r
64           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" tap_mode="classic"/>\r
65           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" tap_mode="classic"/>\r
66           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" tap_mode="classic"/>\r
67           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" tap_mode="classic"/>\r
68           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" tap_mode="classic"/>\r
69           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" tap_mode="classic"/>\r
70           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" tap_mode="classic"/>\r
71           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" tap_mode="classic"/>\r
72           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" tap_mode="classic"/>\r
73           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" tap_mode="classic"/>\r
74           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" tap_mode="classic"/>\r
75           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" tap_mode="classic"/>\r
76           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" tap_mode="classic"/>\r
77           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" tap_mode="classic"/>\r
78           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" tap_mode="classic"/>\r
79           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" tap_mode="classic"/>\r
80           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" tap_mode="classic"/>\r
81           <wire name="dbg_addr[0]" tap_mode="classic"/>\r
82           <wire name="dbg_addr[10]" tap_mode="classic"/>\r
83           <wire name="dbg_addr[11]" tap_mode="classic"/>\r
84           <wire name="dbg_addr[12]" tap_mode="classic"/>\r
85           <wire name="dbg_addr[13]" tap_mode="classic"/>\r
86           <wire name="dbg_addr[14]" tap_mode="classic"/>\r
87           <wire name="dbg_addr[15]" tap_mode="classic"/>\r
88           <wire name="dbg_addr[1]" tap_mode="classic"/>\r
89           <wire name="dbg_addr[2]" tap_mode="classic"/>\r
90           <wire name="dbg_addr[3]" tap_mode="classic"/>\r
91           <wire name="dbg_addr[4]" tap_mode="classic"/>\r
92           <wire name="dbg_addr[5]" tap_mode="classic"/>\r
93           <wire name="dbg_addr[6]" tap_mode="classic"/>\r
94           <wire name="dbg_addr[7]" tap_mode="classic"/>\r
95           <wire name="dbg_addr[8]" tap_mode="classic"/>\r
96           <wire name="dbg_addr[9]" tap_mode="classic"/>\r
97           <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
98           <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
99           <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
100           <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
101           <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
102           <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
103           <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
104           <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
105           <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
106           <wire name="dbg_ea_carry" tap_mode="classic"/>\r
107           <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
108           <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
109           <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
110           <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
111           <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
112           <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
113           <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
114           <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
115           <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
116           <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
117           <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
118           <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
119           <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
120           <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
121           <wire name="dbg_nmi" tap_mode="classic"/>\r
122           <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
123           <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
124           <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
125           <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
126           <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
127           <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
128           <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
129           <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
130           <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
131           <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
132           <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
133           <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
134           <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
135           <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
136           <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
137           <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
138           <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
139           <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
140           <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
141           <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
142           <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
143           <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
144           <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
145           <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
146           <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
147           <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
148           <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
149           <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
150           <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
151           <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
152           <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
153           <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
154           <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
155           <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
156           <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
157           <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
158           <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
159           <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
160           <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
161           <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
162           <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
163           <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
164           <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
165           <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
166           <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
167           <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
168           <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
169           <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
170           <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
171           <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
172           <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
173           <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
174           <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
175           <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
176           <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
177           <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
178           <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
179           <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
180           <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
181           <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
182           <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
183           <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
184           <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
185           <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
186           <wire name="dbg_r_nw" tap_mode="classic"/>\r
187           <wire name="dbg_status[0]" tap_mode="classic"/>\r
188           <wire name="dbg_status[1]" tap_mode="classic"/>\r
189           <wire name="dbg_status[2]" tap_mode="classic"/>\r
190           <wire name="dbg_status[3]" tap_mode="classic"/>\r
191           <wire name="dbg_status[4]" tap_mode="classic"/>\r
192           <wire name="dbg_status[5]" tap_mode="classic"/>\r
193           <wire name="dbg_status[6]" tap_mode="classic"/>\r
194           <wire name="dbg_status[7]" tap_mode="classic"/>\r
195           <wire name="g[0]" tap_mode="classic"/>\r
196           <wire name="g[1]" tap_mode="classic"/>\r
197           <wire name="g[2]" tap_mode="classic"/>\r
198           <wire name="g[3]" tap_mode="classic"/>\r
199           <wire name="h_sync_n" tap_mode="classic"/>\r
200           <wire name="joypad1[0]" tap_mode="classic"/>\r
201           <wire name="joypad1[1]" tap_mode="classic"/>\r
202           <wire name="joypad1[2]" tap_mode="classic"/>\r
203           <wire name="joypad1[3]" tap_mode="classic"/>\r
204           <wire name="joypad1[4]" tap_mode="classic"/>\r
205           <wire name="joypad1[5]" tap_mode="classic"/>\r
206           <wire name="joypad1[6]" tap_mode="classic"/>\r
207           <wire name="joypad1[7]" tap_mode="classic"/>\r
208           <wire name="joypad2[0]" tap_mode="classic"/>\r
209           <wire name="joypad2[1]" tap_mode="classic"/>\r
210           <wire name="joypad2[2]" tap_mode="classic"/>\r
211           <wire name="joypad2[3]" tap_mode="classic"/>\r
212           <wire name="joypad2[4]" tap_mode="classic"/>\r
213           <wire name="joypad2[5]" tap_mode="classic"/>\r
214           <wire name="joypad2[6]" tap_mode="classic"/>\r
215           <wire name="joypad2[7]" tap_mode="classic"/>\r
216           <wire name="r[0]" tap_mode="classic"/>\r
217           <wire name="r[1]" tap_mode="classic"/>\r
218           <wire name="r[2]" tap_mode="classic"/>\r
219           <wire name="r[3]" tap_mode="classic"/>\r
220           <wire name="rst_n" tap_mode="classic"/>\r
221           <wire name="v_sync_n" tap_mode="classic"/>\r
222         </trigger_input_vec>\r
223         <data_input_vec>\r
224           <wire name="b[0]" tap_mode="classic"/>\r
225           <wire name="b[1]" tap_mode="classic"/>\r
226           <wire name="b[2]" tap_mode="classic"/>\r
227           <wire name="b[3]" tap_mode="classic"/>\r
228           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" tap_mode="classic"/>\r
229           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" tap_mode="classic"/>\r
230           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" tap_mode="classic"/>\r
231           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" tap_mode="classic"/>\r
232           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" tap_mode="classic"/>\r
233           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" tap_mode="classic"/>\r
234           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" tap_mode="classic"/>\r
235           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" tap_mode="classic"/>\r
236           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" tap_mode="classic"/>\r
237           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" tap_mode="classic"/>\r
238           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" tap_mode="classic"/>\r
239           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" tap_mode="classic"/>\r
240           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" tap_mode="classic"/>\r
241           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" tap_mode="classic"/>\r
242           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" tap_mode="classic"/>\r
243           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" tap_mode="classic"/>\r
244           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" tap_mode="classic"/>\r
245           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" tap_mode="classic"/>\r
246           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" tap_mode="classic"/>\r
247           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" tap_mode="classic"/>\r
248           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" tap_mode="classic"/>\r
249           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" tap_mode="classic"/>\r
250           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" tap_mode="classic"/>\r
251           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" tap_mode="classic"/>\r
252           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" tap_mode="classic"/>\r
253           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" tap_mode="classic"/>\r
254           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" tap_mode="classic"/>\r
255           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" tap_mode="classic"/>\r
256           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" tap_mode="classic"/>\r
257           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" tap_mode="classic"/>\r
258           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" tap_mode="classic"/>\r
259           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" tap_mode="classic"/>\r
260           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" tap_mode="classic"/>\r
261           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" tap_mode="classic"/>\r
262           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" tap_mode="classic"/>\r
263           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" tap_mode="classic"/>\r
264           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" tap_mode="classic"/>\r
265           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" tap_mode="classic"/>\r
266           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" tap_mode="classic"/>\r
267           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" tap_mode="classic"/>\r
268           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" tap_mode="classic"/>\r
269           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" tap_mode="classic"/>\r
270           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" tap_mode="classic"/>\r
271           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" tap_mode="classic"/>\r
272           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" tap_mode="classic"/>\r
273           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" tap_mode="classic"/>\r
274           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" tap_mode="classic"/>\r
275           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" tap_mode="classic"/>\r
276           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" tap_mode="classic"/>\r
277           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" tap_mode="classic"/>\r
278           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" tap_mode="classic"/>\r
279           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" tap_mode="classic"/>\r
280           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" tap_mode="classic"/>\r
281           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" tap_mode="classic"/>\r
282           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" tap_mode="classic"/>\r
283           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" tap_mode="classic"/>\r
284           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" tap_mode="classic"/>\r
285           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" tap_mode="classic"/>\r
286           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" tap_mode="classic"/>\r
287           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" tap_mode="classic"/>\r
288           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" tap_mode="classic"/>\r
289           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" tap_mode="classic"/>\r
290           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" tap_mode="classic"/>\r
291           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" tap_mode="classic"/>\r
292           <wire name="dbg_addr[0]" tap_mode="classic"/>\r
293           <wire name="dbg_addr[10]" tap_mode="classic"/>\r
294           <wire name="dbg_addr[11]" tap_mode="classic"/>\r
295           <wire name="dbg_addr[12]" tap_mode="classic"/>\r
296           <wire name="dbg_addr[13]" tap_mode="classic"/>\r
297           <wire name="dbg_addr[14]" tap_mode="classic"/>\r
298           <wire name="dbg_addr[15]" tap_mode="classic"/>\r
299           <wire name="dbg_addr[1]" tap_mode="classic"/>\r
300           <wire name="dbg_addr[2]" tap_mode="classic"/>\r
301           <wire name="dbg_addr[3]" tap_mode="classic"/>\r
302           <wire name="dbg_addr[4]" tap_mode="classic"/>\r
303           <wire name="dbg_addr[5]" tap_mode="classic"/>\r
304           <wire name="dbg_addr[6]" tap_mode="classic"/>\r
305           <wire name="dbg_addr[7]" tap_mode="classic"/>\r
306           <wire name="dbg_addr[8]" tap_mode="classic"/>\r
307           <wire name="dbg_addr[9]" tap_mode="classic"/>\r
308           <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
309           <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
310           <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
311           <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
312           <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
313           <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
314           <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
315           <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
316           <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
317           <wire name="dbg_ea_carry" tap_mode="classic"/>\r
318           <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
319           <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
320           <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
321           <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
322           <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
323           <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
324           <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
325           <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
326           <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
327           <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
328           <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
329           <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
330           <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
331           <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
332           <wire name="dbg_nmi" tap_mode="classic"/>\r
333           <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
334           <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
335           <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
336           <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
337           <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
338           <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
339           <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
340           <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
341           <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
342           <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
343           <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
344           <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
345           <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
346           <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
347           <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
348           <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
349           <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
350           <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
351           <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
352           <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
353           <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
354           <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
355           <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
356           <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
357           <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
358           <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
359           <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
360           <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
361           <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
362           <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
363           <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
364           <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
365           <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
366           <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
367           <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
368           <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
369           <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
370           <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
371           <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
372           <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
373           <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
374           <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
375           <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
376           <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
377           <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
378           <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
379           <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
380           <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
381           <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
382           <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
383           <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
384           <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
385           <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
386           <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
387           <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
388           <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
389           <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
390           <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
391           <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
392           <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
393           <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
394           <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
395           <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
396           <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
397           <wire name="dbg_r_nw" tap_mode="classic"/>\r
398           <wire name="dbg_status[0]" tap_mode="classic"/>\r
399           <wire name="dbg_status[1]" tap_mode="classic"/>\r
400           <wire name="dbg_status[2]" tap_mode="classic"/>\r
401           <wire name="dbg_status[3]" tap_mode="classic"/>\r
402           <wire name="dbg_status[4]" tap_mode="classic"/>\r
403           <wire name="dbg_status[5]" tap_mode="classic"/>\r
404           <wire name="dbg_status[6]" tap_mode="classic"/>\r
405           <wire name="dbg_status[7]" tap_mode="classic"/>\r
406           <wire name="g[0]" tap_mode="classic"/>\r
407           <wire name="g[1]" tap_mode="classic"/>\r
408           <wire name="g[2]" tap_mode="classic"/>\r
409           <wire name="g[3]" tap_mode="classic"/>\r
410           <wire name="h_sync_n" tap_mode="classic"/>\r
411           <wire name="joypad1[0]" tap_mode="classic"/>\r
412           <wire name="joypad1[1]" tap_mode="classic"/>\r
413           <wire name="joypad1[2]" tap_mode="classic"/>\r
414           <wire name="joypad1[3]" tap_mode="classic"/>\r
415           <wire name="joypad1[4]" tap_mode="classic"/>\r
416           <wire name="joypad1[5]" tap_mode="classic"/>\r
417           <wire name="joypad1[6]" tap_mode="classic"/>\r
418           <wire name="joypad1[7]" tap_mode="classic"/>\r
419           <wire name="joypad2[0]" tap_mode="classic"/>\r
420           <wire name="joypad2[1]" tap_mode="classic"/>\r
421           <wire name="joypad2[2]" tap_mode="classic"/>\r
422           <wire name="joypad2[3]" tap_mode="classic"/>\r
423           <wire name="joypad2[4]" tap_mode="classic"/>\r
424           <wire name="joypad2[5]" tap_mode="classic"/>\r
425           <wire name="joypad2[6]" tap_mode="classic"/>\r
426           <wire name="joypad2[7]" tap_mode="classic"/>\r
427           <wire name="r[0]" tap_mode="classic"/>\r
428           <wire name="r[1]" tap_mode="classic"/>\r
429           <wire name="r[2]" tap_mode="classic"/>\r
430           <wire name="r[3]" tap_mode="classic"/>\r
431           <wire name="rst_n" tap_mode="classic"/>\r
432           <wire name="v_sync_n" tap_mode="classic"/>\r
433         </data_input_vec>\r
434         <storage_qualifier_input_vec>\r
435           <wire name="b[0]" tap_mode="classic"/>\r
436           <wire name="b[1]" tap_mode="classic"/>\r
437           <wire name="b[2]" tap_mode="classic"/>\r
438           <wire name="b[3]" tap_mode="classic"/>\r
439           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" tap_mode="classic"/>\r
440           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" tap_mode="classic"/>\r
441           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" tap_mode="classic"/>\r
442           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" tap_mode="classic"/>\r
443           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" tap_mode="classic"/>\r
444           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" tap_mode="classic"/>\r
445           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" tap_mode="classic"/>\r
446           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" tap_mode="classic"/>\r
447           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" tap_mode="classic"/>\r
448           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" tap_mode="classic"/>\r
449           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" tap_mode="classic"/>\r
450           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" tap_mode="classic"/>\r
451           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" tap_mode="classic"/>\r
452           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" tap_mode="classic"/>\r
453           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" tap_mode="classic"/>\r
454           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" tap_mode="classic"/>\r
455           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" tap_mode="classic"/>\r
456           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" tap_mode="classic"/>\r
457           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" tap_mode="classic"/>\r
458           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" tap_mode="classic"/>\r
459           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" tap_mode="classic"/>\r
460           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" tap_mode="classic"/>\r
461           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" tap_mode="classic"/>\r
462           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" tap_mode="classic"/>\r
463           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" tap_mode="classic"/>\r
464           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" tap_mode="classic"/>\r
465           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" tap_mode="classic"/>\r
466           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" tap_mode="classic"/>\r
467           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" tap_mode="classic"/>\r
468           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" tap_mode="classic"/>\r
469           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" tap_mode="classic"/>\r
470           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" tap_mode="classic"/>\r
471           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" tap_mode="classic"/>\r
472           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" tap_mode="classic"/>\r
473           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" tap_mode="classic"/>\r
474           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" tap_mode="classic"/>\r
475           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" tap_mode="classic"/>\r
476           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" tap_mode="classic"/>\r
477           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" tap_mode="classic"/>\r
478           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" tap_mode="classic"/>\r
479           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" tap_mode="classic"/>\r
480           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" tap_mode="classic"/>\r
481           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" tap_mode="classic"/>\r
482           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" tap_mode="classic"/>\r
483           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" tap_mode="classic"/>\r
484           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" tap_mode="classic"/>\r
485           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" tap_mode="classic"/>\r
486           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" tap_mode="classic"/>\r
487           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" tap_mode="classic"/>\r
488           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" tap_mode="classic"/>\r
489           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" tap_mode="classic"/>\r
490           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" tap_mode="classic"/>\r
491           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" tap_mode="classic"/>\r
492           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" tap_mode="classic"/>\r
493           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" tap_mode="classic"/>\r
494           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" tap_mode="classic"/>\r
495           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" tap_mode="classic"/>\r
496           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" tap_mode="classic"/>\r
497           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" tap_mode="classic"/>\r
498           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" tap_mode="classic"/>\r
499           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" tap_mode="classic"/>\r
500           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" tap_mode="classic"/>\r
501           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" tap_mode="classic"/>\r
502           <wire name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" tap_mode="classic"/>\r
503           <wire name="dbg_addr[0]" tap_mode="classic"/>\r
504           <wire name="dbg_addr[10]" tap_mode="classic"/>\r
505           <wire name="dbg_addr[11]" tap_mode="classic"/>\r
506           <wire name="dbg_addr[12]" tap_mode="classic"/>\r
507           <wire name="dbg_addr[13]" tap_mode="classic"/>\r
508           <wire name="dbg_addr[14]" tap_mode="classic"/>\r
509           <wire name="dbg_addr[15]" tap_mode="classic"/>\r
510           <wire name="dbg_addr[1]" tap_mode="classic"/>\r
511           <wire name="dbg_addr[2]" tap_mode="classic"/>\r
512           <wire name="dbg_addr[3]" tap_mode="classic"/>\r
513           <wire name="dbg_addr[4]" tap_mode="classic"/>\r
514           <wire name="dbg_addr[5]" tap_mode="classic"/>\r
515           <wire name="dbg_addr[6]" tap_mode="classic"/>\r
516           <wire name="dbg_addr[7]" tap_mode="classic"/>\r
517           <wire name="dbg_addr[8]" tap_mode="classic"/>\r
518           <wire name="dbg_addr[9]" tap_mode="classic"/>\r
519           <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
520           <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
521           <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
522           <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
523           <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
524           <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
525           <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
526           <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
527           <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
528           <wire name="dbg_ea_carry" tap_mode="classic"/>\r
529           <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
530           <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
531           <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
532           <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
533           <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
534           <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
535           <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
536           <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
537           <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
538           <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
539           <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
540           <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
541           <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
542           <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
543           <wire name="dbg_nmi" tap_mode="classic"/>\r
544           <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
545           <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
546           <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
547           <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
548           <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
549           <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
550           <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
551           <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
552           <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
553           <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
554           <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
555           <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
556           <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
557           <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
558           <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
559           <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
560           <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
561           <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
562           <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
563           <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
564           <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
565           <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
566           <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
567           <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
568           <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
569           <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
570           <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
571           <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
572           <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
573           <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
574           <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
575           <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
576           <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
577           <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
578           <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
579           <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
580           <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
581           <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
582           <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
583           <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
584           <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
585           <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
586           <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
587           <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
588           <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
589           <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
590           <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
591           <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
592           <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
593           <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
594           <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
595           <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
596           <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
597           <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
598           <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
599           <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
600           <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
601           <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
602           <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
603           <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
604           <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
605           <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
606           <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
607           <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
608           <wire name="dbg_r_nw" tap_mode="classic"/>\r
609           <wire name="dbg_status[0]" tap_mode="classic"/>\r
610           <wire name="dbg_status[1]" tap_mode="classic"/>\r
611           <wire name="dbg_status[2]" tap_mode="classic"/>\r
612           <wire name="dbg_status[3]" tap_mode="classic"/>\r
613           <wire name="dbg_status[4]" tap_mode="classic"/>\r
614           <wire name="dbg_status[5]" tap_mode="classic"/>\r
615           <wire name="dbg_status[6]" tap_mode="classic"/>\r
616           <wire name="dbg_status[7]" tap_mode="classic"/>\r
617           <wire name="g[0]" tap_mode="classic"/>\r
618           <wire name="g[1]" tap_mode="classic"/>\r
619           <wire name="g[2]" tap_mode="classic"/>\r
620           <wire name="g[3]" tap_mode="classic"/>\r
621           <wire name="h_sync_n" tap_mode="classic"/>\r
622           <wire name="joypad1[0]" tap_mode="classic"/>\r
623           <wire name="joypad1[1]" tap_mode="classic"/>\r
624           <wire name="joypad1[2]" tap_mode="classic"/>\r
625           <wire name="joypad1[3]" tap_mode="classic"/>\r
626           <wire name="joypad1[4]" tap_mode="classic"/>\r
627           <wire name="joypad1[5]" tap_mode="classic"/>\r
628           <wire name="joypad1[6]" tap_mode="classic"/>\r
629           <wire name="joypad1[7]" tap_mode="classic"/>\r
630           <wire name="joypad2[0]" tap_mode="classic"/>\r
631           <wire name="joypad2[1]" tap_mode="classic"/>\r
632           <wire name="joypad2[2]" tap_mode="classic"/>\r
633           <wire name="joypad2[3]" tap_mode="classic"/>\r
634           <wire name="joypad2[4]" tap_mode="classic"/>\r
635           <wire name="joypad2[5]" tap_mode="classic"/>\r
636           <wire name="joypad2[6]" tap_mode="classic"/>\r
637           <wire name="joypad2[7]" tap_mode="classic"/>\r
638           <wire name="r[0]" tap_mode="classic"/>\r
639           <wire name="r[1]" tap_mode="classic"/>\r
640           <wire name="r[2]" tap_mode="classic"/>\r
641           <wire name="r[3]" tap_mode="classic"/>\r
642           <wire name="rst_n" tap_mode="classic"/>\r
643           <wire name="v_sync_n" tap_mode="classic"/>\r
644         </storage_qualifier_input_vec>\r
645       </signal_vec>\r
646       <presentation>\r
647         <unified_setup_data_view>\r
648           <node data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>\r
649           <node data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>\r
650           <node name="clock_counter" order="lsb_to_msb" state="collapse" type="register">\r
651             <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
652             <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
653             <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
654             <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
655             <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
656             <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
657             <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
658             <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
659             <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
660             <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
661             <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
662             <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
663             <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
664             <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
665             <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
666             <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
667             <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
668             <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
669             <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
670             <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
671             <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
672             <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
673             <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
674             <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
675             <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
676             <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
677             <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
678             <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
679             <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
680             <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
681             <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
682             <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
683             <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
684             <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
685             <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
686             <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
687             <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
688             <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
689             <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
690             <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
691             <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
692             <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
693             <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
694             <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
695             <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
696             <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
697             <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
698             <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
699             <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
700             <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
701             <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
702             <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
703             <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
704             <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
705             <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
706             <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
707             <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
708             <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
709             <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
710             <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
711             <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
712             <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
713             <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
714             <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
715           </node>\r
716           <node data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>\r
717           <node data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>\r
718           <node is_selected="false" level-0="alt_or" name="dbg_addr[15..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
719             <node data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
720             <node data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
721             <node data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
722             <node data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
723             <node data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
724             <node data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
725             <node data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
726             <node data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
727             <node data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
728             <node data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
729             <node data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
730             <node data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
731             <node data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
732             <node data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
733             <node data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
734             <node data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
735           </node>\r
736           <node is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
737             <node data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>\r
738             <node data_index="91" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="unknown"/>\r
739             <node data_index="90" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="unknown"/>\r
740             <node data_index="89" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="unknown"/>\r
741             <node data_index="88" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="unknown"/>\r
742             <node data_index="87" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="unknown"/>\r
743             <node data_index="86" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="unknown"/>\r
744             <node data_index="85" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="unknown"/>\r
745           </node>\r
746           <node is_selected="false" level-0="alt_or" name="dbg_instruction[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
747             <node data_index="107" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="unknown"/>\r
748             <node data_index="106" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="unknown"/>\r
749             <node data_index="105" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="unknown"/>\r
750             <node data_index="104" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="unknown"/>\r
751             <node data_index="103" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="unknown"/>\r
752             <node data_index="102" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="unknown"/>\r
753             <node data_index="101" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="unknown"/>\r
754             <node data_index="100" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="unknown"/>\r
755           </node>\r
756           <node is_selected="false" level-0="alt_or" name="dbg_exec_cycle[5..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
757             <node data_index="99" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="unknown"/>\r
758             <node data_index="98" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="unknown"/>\r
759             <node data_index="97" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="unknown"/>\r
760             <node data_index="96" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="unknown"/>\r
761             <node data_index="95" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="unknown"/>\r
762             <node data_index="94" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="unknown"/>\r
763           </node>\r
764           <node data_index="93" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="unknown"/>\r
765           <node is_selected="false" level-0="alt_or" name="dbg_status[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
766             <node data_index="181" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="unknown"/>\r
767             <node data_index="180" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="unknown"/>\r
768             <node data_index="179" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="unknown"/>\r
769             <node data_index="178" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="unknown"/>\r
770             <node data_index="177" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="unknown"/>\r
771             <node data_index="176" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="unknown"/>\r
772             <node data_index="175" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="unknown"/>\r
773             <node data_index="174" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="unknown"/>\r
774           </node>\r
775           <node data_index="124" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="unknown"/>\r
776           <node data_index="123" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="unknown"/>\r
777           <node is_selected="false" level-0="alt_or" name="dbg_ppu_addr[13..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
778             <node data_index="113" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="unknown"/>\r
779             <node data_index="112" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="unknown"/>\r
780             <node data_index="111" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="unknown"/>\r
781             <node data_index="110" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="unknown"/>\r
782             <node data_index="122" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="unknown"/>\r
783             <node data_index="121" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="unknown"/>\r
784             <node data_index="120" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="unknown"/>\r
785             <node data_index="119" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="unknown"/>\r
786             <node data_index="118" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="unknown"/>\r
787             <node data_index="117" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="unknown"/>\r
788             <node data_index="116" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="unknown"/>\r
789             <node data_index="115" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="unknown"/>\r
790             <node data_index="114" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="unknown"/>\r
791             <node data_index="109" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="unknown"/>\r
792           </node>\r
793           <node is_selected="false" level-0="alt_or" name="dbg_ppu_data[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
794             <node data_index="140" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="unknown"/>\r
795             <node data_index="139" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="unknown"/>\r
796             <node data_index="138" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="unknown"/>\r
797             <node data_index="137" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="unknown"/>\r
798             <node data_index="136" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="unknown"/>\r
799             <node data_index="135" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="unknown"/>\r
800             <node data_index="134" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="unknown"/>\r
801             <node data_index="133" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="unknown"/>\r
802           </node>\r
803           <node is_selected="false" level-0="alt_or" name="dbg_ppu_ctrl[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
804             <node data_index="132" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="unknown"/>\r
805             <node data_index="131" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="unknown"/>\r
806             <node data_index="130" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="unknown"/>\r
807             <node data_index="129" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="unknown"/>\r
808             <node data_index="128" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="unknown"/>\r
809             <node data_index="127" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="unknown"/>\r
810             <node data_index="126" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="unknown"/>\r
811             <node data_index="125" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="unknown"/>\r
812           </node>\r
813           <node is_selected="false" level-0="alt_or" name="dbg_ppu_mask[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
814             <node data_index="148" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="unknown"/>\r
815             <node data_index="147" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="unknown"/>\r
816             <node data_index="146" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="unknown"/>\r
817             <node data_index="145" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="unknown"/>\r
818             <node data_index="144" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="unknown"/>\r
819             <node data_index="143" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="unknown"/>\r
820             <node data_index="142" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="unknown"/>\r
821             <node data_index="141" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="unknown"/>\r
822           </node>\r
823           <node is_selected="false" level-0="alt_or" name="dbg_ppu_scrl_x[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
824             <node data_index="156" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="unknown"/>\r
825             <node data_index="155" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="unknown"/>\r
826             <node data_index="154" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="unknown"/>\r
827             <node data_index="153" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="unknown"/>\r
828             <node data_index="152" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="unknown"/>\r
829             <node data_index="151" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="unknown"/>\r
830             <node data_index="150" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="unknown"/>\r
831             <node data_index="149" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="unknown"/>\r
832           </node>\r
833           <node is_selected="false" level-0="alt_or" name="dbg_ppu_scrl_y[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
834             <node data_index="164" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="unknown"/>\r
835             <node data_index="163" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="unknown"/>\r
836             <node data_index="162" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="unknown"/>\r
837             <node data_index="161" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="unknown"/>\r
838             <node data_index="160" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="unknown"/>\r
839             <node data_index="159" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="unknown"/>\r
840             <node data_index="158" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="unknown"/>\r
841             <node data_index="157" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="unknown"/>\r
842           </node>\r
843           <node is_selected="false" level-0="alt_or" name="dbg_ppu_status[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
844             <node data_index="172" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="unknown"/>\r
845             <node data_index="171" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="unknown"/>\r
846             <node data_index="170" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="unknown"/>\r
847             <node data_index="169" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="unknown"/>\r
848             <node data_index="168" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="unknown"/>\r
849             <node data_index="167" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="unknown"/>\r
850             <node data_index="166" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="unknown"/>\r
851             <node data_index="165" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="unknown"/>\r
852           </node>\r
853           <node is_selected="false" level-0="alt_or" name="joypad1[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="input pin">\r
854             <node data_index="194" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="unknown"/>\r
855             <node data_index="193" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="unknown"/>\r
856             <node data_index="192" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="unknown"/>\r
857             <node data_index="191" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="unknown"/>\r
858             <node data_index="190" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="unknown"/>\r
859             <node data_index="189" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="unknown"/>\r
860             <node data_index="188" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="unknown"/>\r
861             <node data_index="187" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="unknown"/>\r
862           </node>\r
863           <node is_selected="false" level-0="alt_or" name="joypad2[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="input pin">\r
864             <node data_index="202" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="unknown"/>\r
865             <node data_index="201" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="unknown"/>\r
866             <node data_index="200" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="unknown"/>\r
867             <node data_index="199" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="unknown"/>\r
868             <node data_index="198" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="unknown"/>\r
869             <node data_index="197" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="unknown"/>\r
870             <node data_index="196" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="unknown"/>\r
871             <node data_index="195" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="unknown"/>\r
872           </node>\r
873           <node is_selected="false" level-0="alt_or" name="r[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
874             <node data_index="206" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="unknown"/>\r
875             <node data_index="205" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="unknown"/>\r
876             <node data_index="204" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="unknown"/>\r
877             <node data_index="203" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="unknown"/>\r
878           </node>\r
879           <node is_selected="false" level-0="alt_or" name="g[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
880             <node data_index="185" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="unknown"/>\r
881             <node data_index="184" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="unknown"/>\r
882             <node data_index="183" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="unknown"/>\r
883             <node data_index="182" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="unknown"/>\r
884           </node>\r
885           <node is_selected="false" level-0="alt_or" name="b[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
886             <node data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>\r
887             <node data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>\r
888             <node data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>\r
889             <node data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>\r
890           </node>\r
891           <node data_index="186" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="unknown"/>\r
892           <node data_index="208" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="unknown"/>\r
893         </unified_setup_data_view>\r
894         <data_view>\r
895           <net data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>\r
896           <net data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>\r
897           <bus name="clock_counter" order="lsb_to_msb" state="collapse" type="register">\r
898             <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
899             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
900             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
901             <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
902             <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
903             <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
904             <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
905             <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
906             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
907             <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
908             <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
909             <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
910             <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
911             <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
912             <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
913             <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
914             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
915             <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
916             <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
917             <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
918             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
919             <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
920             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
921             <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
922             <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
923             <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
924             <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
925             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
926             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
927             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
928             <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
929             <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
930             <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
931             <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
932             <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
933             <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
934             <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
935             <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
936             <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
937             <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
938             <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
939             <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
940             <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
941             <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
942             <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
943             <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
944             <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
945             <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
946             <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
947             <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
948             <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
949             <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
950             <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
951             <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
952             <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
953             <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
954             <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
955             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
956             <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
957             <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
958             <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
959             <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
960             <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
961             <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
962           </bus>\r
963           <net data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>\r
964           <net data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>\r
965           <bus is_selected="false" level-0="alt_or" name="dbg_addr[15..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
966             <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
967             <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
968             <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
969             <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
970             <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
971             <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
972             <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
973             <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
974             <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
975             <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
976             <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
977             <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
978             <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
979             <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
980             <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
981             <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
982           </bus>\r
983           <bus is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
984             <net data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>\r
985             <net data_index="91" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="unknown"/>\r
986             <net data_index="90" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="unknown"/>\r
987             <net data_index="89" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="unknown"/>\r
988             <net data_index="88" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="unknown"/>\r
989             <net data_index="87" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="unknown"/>\r
990             <net data_index="86" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="unknown"/>\r
991             <net data_index="85" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="unknown"/>\r
992           </bus>\r
993           <bus is_selected="false" level-0="alt_or" name="dbg_instruction[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
994             <net data_index="107" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="unknown"/>\r
995             <net data_index="106" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="unknown"/>\r
996             <net data_index="105" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="unknown"/>\r
997             <net data_index="104" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="unknown"/>\r
998             <net data_index="103" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="unknown"/>\r
999             <net data_index="102" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="unknown"/>\r
1000             <net data_index="101" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="unknown"/>\r
1001             <net data_index="100" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="unknown"/>\r
1002           </bus>\r
1003           <bus is_selected="false" level-0="alt_or" name="dbg_exec_cycle[5..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1004             <net data_index="99" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="unknown"/>\r
1005             <net data_index="98" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="unknown"/>\r
1006             <net data_index="97" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="unknown"/>\r
1007             <net data_index="96" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="unknown"/>\r
1008             <net data_index="95" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="unknown"/>\r
1009             <net data_index="94" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="unknown"/>\r
1010           </bus>\r
1011           <net data_index="93" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="unknown"/>\r
1012           <bus is_selected="false" level-0="alt_or" name="dbg_status[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1013             <net data_index="181" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="unknown"/>\r
1014             <net data_index="180" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="unknown"/>\r
1015             <net data_index="179" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="unknown"/>\r
1016             <net data_index="178" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="unknown"/>\r
1017             <net data_index="177" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="unknown"/>\r
1018             <net data_index="176" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="unknown"/>\r
1019             <net data_index="175" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="unknown"/>\r
1020             <net data_index="174" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="unknown"/>\r
1021           </bus>\r
1022           <net data_index="124" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="unknown"/>\r
1023           <net data_index="123" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="unknown"/>\r
1024           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_addr[13..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1025             <net data_index="113" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="unknown"/>\r
1026             <net data_index="112" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="unknown"/>\r
1027             <net data_index="111" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="unknown"/>\r
1028             <net data_index="110" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="unknown"/>\r
1029             <net data_index="122" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="unknown"/>\r
1030             <net data_index="121" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="unknown"/>\r
1031             <net data_index="120" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="unknown"/>\r
1032             <net data_index="119" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="unknown"/>\r
1033             <net data_index="118" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="unknown"/>\r
1034             <net data_index="117" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="unknown"/>\r
1035             <net data_index="116" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="unknown"/>\r
1036             <net data_index="115" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="unknown"/>\r
1037             <net data_index="114" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="unknown"/>\r
1038             <net data_index="109" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="unknown"/>\r
1039           </bus>\r
1040           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_data[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1041             <net data_index="140" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="unknown"/>\r
1042             <net data_index="139" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="unknown"/>\r
1043             <net data_index="138" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="unknown"/>\r
1044             <net data_index="137" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="unknown"/>\r
1045             <net data_index="136" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="unknown"/>\r
1046             <net data_index="135" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="unknown"/>\r
1047             <net data_index="134" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="unknown"/>\r
1048             <net data_index="133" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="unknown"/>\r
1049           </bus>\r
1050           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_ctrl[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1051             <net data_index="132" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="unknown"/>\r
1052             <net data_index="131" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="unknown"/>\r
1053             <net data_index="130" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="unknown"/>\r
1054             <net data_index="129" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="unknown"/>\r
1055             <net data_index="128" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="unknown"/>\r
1056             <net data_index="127" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="unknown"/>\r
1057             <net data_index="126" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="unknown"/>\r
1058             <net data_index="125" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="unknown"/>\r
1059           </bus>\r
1060           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_mask[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1061             <net data_index="148" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="unknown"/>\r
1062             <net data_index="147" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="unknown"/>\r
1063             <net data_index="146" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="unknown"/>\r
1064             <net data_index="145" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="unknown"/>\r
1065             <net data_index="144" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="unknown"/>\r
1066             <net data_index="143" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="unknown"/>\r
1067             <net data_index="142" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="unknown"/>\r
1068             <net data_index="141" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="unknown"/>\r
1069           </bus>\r
1070           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_scrl_x[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1071             <net data_index="156" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="unknown"/>\r
1072             <net data_index="155" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="unknown"/>\r
1073             <net data_index="154" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="unknown"/>\r
1074             <net data_index="153" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="unknown"/>\r
1075             <net data_index="152" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="unknown"/>\r
1076             <net data_index="151" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="unknown"/>\r
1077             <net data_index="150" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="unknown"/>\r
1078             <net data_index="149" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="unknown"/>\r
1079           </bus>\r
1080           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_scrl_y[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1081             <net data_index="164" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="unknown"/>\r
1082             <net data_index="163" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="unknown"/>\r
1083             <net data_index="162" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="unknown"/>\r
1084             <net data_index="161" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="unknown"/>\r
1085             <net data_index="160" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="unknown"/>\r
1086             <net data_index="159" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="unknown"/>\r
1087             <net data_index="158" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="unknown"/>\r
1088             <net data_index="157" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="unknown"/>\r
1089           </bus>\r
1090           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_status[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1091             <net data_index="172" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="unknown"/>\r
1092             <net data_index="171" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="unknown"/>\r
1093             <net data_index="170" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="unknown"/>\r
1094             <net data_index="169" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="unknown"/>\r
1095             <net data_index="168" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="unknown"/>\r
1096             <net data_index="167" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="unknown"/>\r
1097             <net data_index="166" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="unknown"/>\r
1098             <net data_index="165" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="unknown"/>\r
1099           </bus>\r
1100           <bus is_selected="false" level-0="alt_or" name="joypad1[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="input pin">\r
1101             <net data_index="194" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="unknown"/>\r
1102             <net data_index="193" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="unknown"/>\r
1103             <net data_index="192" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="unknown"/>\r
1104             <net data_index="191" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="unknown"/>\r
1105             <net data_index="190" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="unknown"/>\r
1106             <net data_index="189" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="unknown"/>\r
1107             <net data_index="188" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="unknown"/>\r
1108             <net data_index="187" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="unknown"/>\r
1109           </bus>\r
1110           <bus is_selected="false" level-0="alt_or" name="joypad2[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="input pin">\r
1111             <net data_index="202" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="unknown"/>\r
1112             <net data_index="201" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="unknown"/>\r
1113             <net data_index="200" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="unknown"/>\r
1114             <net data_index="199" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="unknown"/>\r
1115             <net data_index="198" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="unknown"/>\r
1116             <net data_index="197" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="unknown"/>\r
1117             <net data_index="196" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="unknown"/>\r
1118             <net data_index="195" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="unknown"/>\r
1119           </bus>\r
1120           <bus is_selected="false" level-0="alt_or" name="r[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1121             <net data_index="206" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="unknown"/>\r
1122             <net data_index="205" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="unknown"/>\r
1123             <net data_index="204" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="unknown"/>\r
1124             <net data_index="203" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="unknown"/>\r
1125           </bus>\r
1126           <bus is_selected="false" level-0="alt_or" name="g[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1127             <net data_index="185" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="unknown"/>\r
1128             <net data_index="184" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="unknown"/>\r
1129             <net data_index="183" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="unknown"/>\r
1130             <net data_index="182" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="unknown"/>\r
1131           </bus>\r
1132           <bus is_selected="false" level-0="alt_or" name="b[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1133             <net data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>\r
1134             <net data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>\r
1135             <net data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>\r
1136             <net data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>\r
1137           </bus>\r
1138           <net data_index="186" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="unknown"/>\r
1139           <net data_index="208" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="unknown"/>\r
1140         </data_view>\r
1141         <setup_view>\r
1142           <net data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>\r
1143           <net data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>\r
1144           <bus name="clock_counter" order="lsb_to_msb" state="collapse" type="register">\r
1145             <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
1146             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
1147             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
1148             <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
1149             <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
1150             <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
1151             <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
1152             <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
1153             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
1154             <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
1155             <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
1156             <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
1157             <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
1158             <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
1159             <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
1160             <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
1161             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
1162             <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
1163             <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
1164             <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
1165             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
1166             <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
1167             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
1168             <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
1169             <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
1170             <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
1171             <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
1172             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
1173             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
1174             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
1175             <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
1176             <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
1177             <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
1178             <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
1179             <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
1180             <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
1181             <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
1182             <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
1183             <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
1184             <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
1185             <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
1186             <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
1187             <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
1188             <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
1189             <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
1190             <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
1191             <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
1192             <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
1193             <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
1194             <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
1195             <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
1196             <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
1197             <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
1198             <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
1199             <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
1200             <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
1201             <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
1202             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
1203             <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
1204             <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
1205             <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
1206             <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
1207             <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
1208             <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
1209           </bus>\r
1210           <net data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>\r
1211           <net data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>\r
1212           <bus is_selected="false" level-0="alt_or" name="dbg_addr[15..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1213             <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
1214             <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
1215             <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
1216             <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
1217             <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
1218             <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
1219             <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
1220             <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
1221             <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
1222             <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
1223             <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
1224             <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
1225             <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
1226             <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
1227             <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
1228             <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
1229           </bus>\r
1230           <bus is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1231             <net data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>\r
1232             <net data_index="91" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="unknown"/>\r
1233             <net data_index="90" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="unknown"/>\r
1234             <net data_index="89" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="unknown"/>\r
1235             <net data_index="88" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="unknown"/>\r
1236             <net data_index="87" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="unknown"/>\r
1237             <net data_index="86" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="unknown"/>\r
1238             <net data_index="85" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="unknown"/>\r
1239           </bus>\r
1240           <bus is_selected="false" level-0="alt_or" name="dbg_instruction[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1241             <net data_index="107" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="unknown"/>\r
1242             <net data_index="106" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="unknown"/>\r
1243             <net data_index="105" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="unknown"/>\r
1244             <net data_index="104" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="unknown"/>\r
1245             <net data_index="103" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="unknown"/>\r
1246             <net data_index="102" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="unknown"/>\r
1247             <net data_index="101" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="unknown"/>\r
1248             <net data_index="100" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="unknown"/>\r
1249           </bus>\r
1250           <bus is_selected="false" level-0="alt_or" name="dbg_exec_cycle[5..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1251             <net data_index="99" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="unknown"/>\r
1252             <net data_index="98" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="unknown"/>\r
1253             <net data_index="97" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="unknown"/>\r
1254             <net data_index="96" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="unknown"/>\r
1255             <net data_index="95" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="unknown"/>\r
1256             <net data_index="94" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="unknown"/>\r
1257           </bus>\r
1258           <net data_index="93" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="unknown"/>\r
1259           <bus is_selected="false" level-0="alt_or" name="dbg_status[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1260             <net data_index="181" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="unknown"/>\r
1261             <net data_index="180" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="unknown"/>\r
1262             <net data_index="179" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="unknown"/>\r
1263             <net data_index="178" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="unknown"/>\r
1264             <net data_index="177" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="unknown"/>\r
1265             <net data_index="176" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="unknown"/>\r
1266             <net data_index="175" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="unknown"/>\r
1267             <net data_index="174" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="unknown"/>\r
1268           </bus>\r
1269           <net data_index="124" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="unknown"/>\r
1270           <net data_index="123" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="unknown"/>\r
1271           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_addr[13..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1272             <net data_index="113" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="unknown"/>\r
1273             <net data_index="112" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="unknown"/>\r
1274             <net data_index="111" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="unknown"/>\r
1275             <net data_index="110" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="unknown"/>\r
1276             <net data_index="122" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="unknown"/>\r
1277             <net data_index="121" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="unknown"/>\r
1278             <net data_index="120" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="unknown"/>\r
1279             <net data_index="119" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="unknown"/>\r
1280             <net data_index="118" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="unknown"/>\r
1281             <net data_index="117" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="unknown"/>\r
1282             <net data_index="116" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="unknown"/>\r
1283             <net data_index="115" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="unknown"/>\r
1284             <net data_index="114" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="unknown"/>\r
1285             <net data_index="109" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="unknown"/>\r
1286           </bus>\r
1287           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_data[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1288             <net data_index="140" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="unknown"/>\r
1289             <net data_index="139" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="unknown"/>\r
1290             <net data_index="138" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="unknown"/>\r
1291             <net data_index="137" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="unknown"/>\r
1292             <net data_index="136" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="unknown"/>\r
1293             <net data_index="135" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="unknown"/>\r
1294             <net data_index="134" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="unknown"/>\r
1295             <net data_index="133" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="unknown"/>\r
1296           </bus>\r
1297           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_ctrl[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1298             <net data_index="132" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="unknown"/>\r
1299             <net data_index="131" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="unknown"/>\r
1300             <net data_index="130" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="unknown"/>\r
1301             <net data_index="129" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="unknown"/>\r
1302             <net data_index="128" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="unknown"/>\r
1303             <net data_index="127" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="unknown"/>\r
1304             <net data_index="126" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="unknown"/>\r
1305             <net data_index="125" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="unknown"/>\r
1306           </bus>\r
1307           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_mask[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1308             <net data_index="148" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="unknown"/>\r
1309             <net data_index="147" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="unknown"/>\r
1310             <net data_index="146" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="unknown"/>\r
1311             <net data_index="145" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="unknown"/>\r
1312             <net data_index="144" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="unknown"/>\r
1313             <net data_index="143" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="unknown"/>\r
1314             <net data_index="142" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="unknown"/>\r
1315             <net data_index="141" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="unknown"/>\r
1316           </bus>\r
1317           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_scrl_x[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1318             <net data_index="156" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="unknown"/>\r
1319             <net data_index="155" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="unknown"/>\r
1320             <net data_index="154" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="unknown"/>\r
1321             <net data_index="153" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="unknown"/>\r
1322             <net data_index="152" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="unknown"/>\r
1323             <net data_index="151" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="unknown"/>\r
1324             <net data_index="150" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="unknown"/>\r
1325             <net data_index="149" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="unknown"/>\r
1326           </bus>\r
1327           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_scrl_y[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1328             <net data_index="164" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="unknown"/>\r
1329             <net data_index="163" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="unknown"/>\r
1330             <net data_index="162" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="unknown"/>\r
1331             <net data_index="161" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="unknown"/>\r
1332             <net data_index="160" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="unknown"/>\r
1333             <net data_index="159" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="unknown"/>\r
1334             <net data_index="158" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="unknown"/>\r
1335             <net data_index="157" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="unknown"/>\r
1336           </bus>\r
1337           <bus is_selected="false" level-0="alt_or" name="dbg_ppu_status[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1338             <net data_index="172" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="unknown"/>\r
1339             <net data_index="171" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="unknown"/>\r
1340             <net data_index="170" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="unknown"/>\r
1341             <net data_index="169" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="unknown"/>\r
1342             <net data_index="168" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="unknown"/>\r
1343             <net data_index="167" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="unknown"/>\r
1344             <net data_index="166" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="unknown"/>\r
1345             <net data_index="165" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="unknown"/>\r
1346           </bus>\r
1347           <bus is_selected="false" level-0="alt_or" name="joypad1[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="input pin">\r
1348             <net data_index="194" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="unknown"/>\r
1349             <net data_index="193" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="unknown"/>\r
1350             <net data_index="192" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="unknown"/>\r
1351             <net data_index="191" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="unknown"/>\r
1352             <net data_index="190" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="unknown"/>\r
1353             <net data_index="189" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="unknown"/>\r
1354             <net data_index="188" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="unknown"/>\r
1355             <net data_index="187" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="unknown"/>\r
1356           </bus>\r
1357           <bus is_selected="false" level-0="alt_or" name="joypad2[7..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="input pin">\r
1358             <net data_index="202" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="unknown"/>\r
1359             <net data_index="201" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="unknown"/>\r
1360             <net data_index="200" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="unknown"/>\r
1361             <net data_index="199" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="unknown"/>\r
1362             <net data_index="198" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="unknown"/>\r
1363             <net data_index="197" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="unknown"/>\r
1364             <net data_index="196" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="unknown"/>\r
1365             <net data_index="195" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="unknown"/>\r
1366           </bus>\r
1367           <bus is_selected="false" level-0="alt_or" name="r[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1368             <net data_index="206" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="unknown"/>\r
1369             <net data_index="205" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="unknown"/>\r
1370             <net data_index="204" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="unknown"/>\r
1371             <net data_index="203" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="unknown"/>\r
1372           </bus>\r
1373           <bus is_selected="false" level-0="alt_or" name="g[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1374             <net data_index="185" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="unknown"/>\r
1375             <net data_index="184" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="unknown"/>\r
1376             <net data_index="183" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="unknown"/>\r
1377             <net data_index="182" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="unknown"/>\r
1378           </bus>\r
1379           <bus is_selected="false" level-0="alt_or" name="b[3..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
1380             <net data_index="3" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="unknown"/>\r
1381             <net data_index="2" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="unknown"/>\r
1382             <net data_index="1" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="unknown"/>\r
1383             <net data_index="0" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="unknown"/>\r
1384           </bus>\r
1385           <net data_index="186" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="unknown"/>\r
1386           <net data_index="208" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="unknown"/>\r
1387         </setup_view>\r
1388         <trigger_in_editor/>\r
1389         <trigger_out_editor/>\r
1390       </presentation>\r
1391       <trigger CRC="447395F0" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2016/07/16 19:23:46  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
1392         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
1393         <events use_custom_flow_control="no">\r
1394           <level enabled="yes" name="condition1" type="basic">'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0' == high\r
1395             <power_up enabled="yes">\r
1396             </power_up>\r
1397             <op_node/>\r
1398           </level>\r
1399         </events>\r
1400         <storage_qualifier_events>\r
1401           <transitional>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111\r
1402             <pwr_up_transitional>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</pwr_up_transitional>\r
1403           </transitional>\r
1404           <storage_qualifier_level type="basic">\r
1405             <power_up>\r
1406             </power_up>\r
1407             <op_node/>\r
1408           </storage_qualifier_level>\r
1409           <storage_qualifier_level type="basic">\r
1410             <power_up>\r
1411             </power_up>\r
1412             <op_node/>\r
1413           </storage_qualifier_level>\r
1414           <storage_qualifier_level type="basic">\r
1415             <power_up>\r
1416             </power_up>\r
1417             <op_node/>\r
1418           </storage_qualifier_level>\r
1419         </storage_qualifier_events>\r
1420         <log>\r
1421           <data global_temp="1" name="log: 2016/07/16 19:23:46  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">0000010001000100000000000000000000000000000000001000000000000000110010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001100100000010001110111000011001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011001000000100011101110000110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000110010000001000111010100001100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001100000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011000000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000110000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001100011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011000111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000110001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001100100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000011001000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000110010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001100000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000011000000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000110000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001100100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000011001000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000110010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001100000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000011000000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000110000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001100100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000011001000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000110010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001100100000010001110111000011001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000011001000000100011101110000110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000110010000001000111010100001100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001100100000010001110110100011000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000011001000000100011101101000110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000110010000001000111010010001100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001100000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000011000000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000110000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001100100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011001000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000110010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001100000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011000000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000110000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001100000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000011000000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000110010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001100000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000011000000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000110000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001100100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000011001000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000110010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001100000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000011000000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000110000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001100100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000011001000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000110010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001100000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011000000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000110000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001100100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011001000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000110010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001100100000011001110111110011001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011001000000110011101111100110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000110010000001100111010111001100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001100000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011000000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000110000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001100011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000011000111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000110001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001100100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000011001000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000110010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001100000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000011000000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000110000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001100100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000011001000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000110010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001100000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000011000000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000110000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001100100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000011001000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000110010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001100100000011001110111110011001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000011001000000110011101111100110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000110010000001100111010111001100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001100100000011001110110001011000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000011001000000110011101100010110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000110010000001100111010000101100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001100000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011000000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000110000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001100100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011001000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000110010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001100000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011000000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000110000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001100000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011000000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000110010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001100000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011000000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000110000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001100100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011001000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000110010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001100000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011000000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000110000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001100100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011001000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000110010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001100000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011000000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000110000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000000101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000001010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000010100000010101110111011011001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000000101000000101011101110110110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000001010000001010111010101101100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000000100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000001000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000000100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000001001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000000101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000001010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000000100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000001000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000000101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000001010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000000100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000001000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000000101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000001010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000010100000010101110111011011001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000000101000000101011101110110110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000001010000001010111010101101100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000010100000010101110110111011000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000000101000000101011101101110110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000001010000001010111010011101100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000000100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000000000001000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000001000000000000000000000000000010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000000000000000000000101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000000000000000000000001010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000000000000000000000010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000000000000100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000000000001000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000000000010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000000000000100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000000000000000000000001010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000010000000000000000010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000000000000000100000000000000000100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000000000000001000000000000000001000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000000000000000010000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000000000010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000000000000101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000000000000000000000000001000000000000000001010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000000000000000000000000010000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000000100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000001000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000000101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000001010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000000100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000001000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000000101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000001010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000010100000011101110111100111001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000000101000000111011101111001110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000001010000001110111010110011100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000000100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000001000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000000100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000001001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000000101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000001010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000000100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000001000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000000101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000001010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000000100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000001000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000000101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000001010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000010100000011101110111100111001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000000101000000111011101111001110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000001010000001110111010110011100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000010100000011101110110010111000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000000101000000111011101100101110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000001010000001110111010001011100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000000100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000001000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000000101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000001010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000000100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000001000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000000100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000001010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000000100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000001000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000000101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000001010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000000100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000001000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000000101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000001010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000000100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000001000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000000101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000001010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000010100000010011110111001111001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000000101000000100111101110011110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000001010000001001111010100111100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000000100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000001000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000000100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000001001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000000101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000001010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000000100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000001000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000000101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000001010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000000100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000001000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000000101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000001010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000010100000010011110111001111001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000000101000000100111101110011110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000001010000001001111010100111100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000010100000010011110110101111000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000000101000000100111101101011110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000001010000001001111010010111100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000000100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000001000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000000101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000001010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000000100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000001000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000000100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000001010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000010100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000101000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000010101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000101010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000010100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000101000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000010101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000101010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000010100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000101000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000010101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000101010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001010100000011011110111111111001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000010101000000110111101111111110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000101010000001101111010111111100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000010100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000101000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000010100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000101001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000010101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000101010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000010100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000101000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000000000010101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000000000101010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000000001010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000000000010100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000000000000000000000101000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000010000000000000001010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000000000000000100000000000000010101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000000000000001000000000000000101010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000000000000000010000000000000001010100000011011110111111111001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000000000010101000000110111101111111110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000000000101010000001101111010111111100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000000001010100000011011110110000000100010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000000000010101000000110111101100000001000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000001000000000000000101010000001101111010000000010000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000010000000000000001010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000100000000000000010100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000001000000000000000101000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000000000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000000000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000000000000000010000000000000001010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000100000000000000010101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000001000000000000000101010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000010000000000000001010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000010100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000101000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000010100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000101010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000010100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000101000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000010101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000101010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000010100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000101000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000010101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000101010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000010100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000101000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000010101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000101010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001010100000010111110111010000101100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000010101000000101111101110100001011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000101010000001011111010101000010000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000010100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000101000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000010100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000101001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000010101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000101010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000010100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000101000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000010101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000101010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000010100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000101000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000010101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000101010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001010100000010111110111010000101100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000010101000000101111101110100001011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000101010000001011111010101000010001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001010100000010111110110110000100010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000010101000000101111101101100001000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000101010000001011111010011000010000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000010100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000101000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000010101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000101010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000010100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000101000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000010100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000101010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000010100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000101000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000010101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000101010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000010100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000101000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000010101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000101010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000010100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000101000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000010101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000101010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001010100000011111110111101000101100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000010101000000111111101111010001011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000101010000001111111010110100010000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000010100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000101000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000010100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000101001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000010101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000101010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000010100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000101000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000010101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000101010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000010100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000101000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000110100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000001101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000011010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000110100000011111110111101000101100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000001101000000111111101111010001011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000011010000001111111010110100010001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000110100000011111110110011000100010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000001101000000111111101100110001000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000011010000001111111010001100010000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000110000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000001100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000011000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000110011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000001100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000011001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000110100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000001101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000011010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000110000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000001100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000011000000010011110110100011110000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000110100000100111101111001010100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000001101000001001111011110010101000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000011010000010011110110100101010100000100101010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000110000000110111101110100000001000001001010100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000001100000001101111011101000000010000010010101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000011000000011011110110010000000000000100101010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000110100000110111101111011000100000001001010100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000001101000001101111011110110001000000010010101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000011010000011011110110101100010100000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000110000000101111101110010100001000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000001100000001011111011100101000010000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000011000000010111110110001010000010000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000110100000101111101110000001000100001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000001101000001011111011100000010001000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000011010000010111110110000000100110000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000110000001001010000010100000001100001011000100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000001100000010010100000101000000011000010110001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000011000000100101000000010000000000000101100010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000110111111111111111110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000001101111111111111111100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000011011111111111111110000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000110100010010000000010000000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000001101000100100000000100000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000011010001001000000000000000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000110000000000000000110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000001100000000000000001100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000011000000000000000010000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000110000010001000000010000000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000001100000100010000000100000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000011000001000100000000000000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000110100000000000000111000001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000000000001101000000000000001110000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000000000011010000000000000010100000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000000000110000010001000000011000001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000000000001100000100010000000110000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000001000000000000000011000001000100000000100000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000010000000000000000110000000010000000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000100000000000000001100000000100000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000001000000000000000011000000001000000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000010000000000000000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000100000000000000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000001000000000000000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000010000000000000000110100000010000000110111000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000100000000000000001101000000100000001101110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000001000000000000000011010000001000000010011100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000010000000000000000110000010001000000010111000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000100000000000000001100000100010000000101110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000001000000000000000011000001000100000000011100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000010000000000000000110000000001000000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000100000000000000001100000000010000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000001000000000000000011000000000100000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000010000000000000000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000100000000000000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000001000000000000000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000110100000001000000110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000001101000000010000001101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000011010000000100000010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000110000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000001100000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000011000001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000110000000011000000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000001100000000110000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000011000000001100000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000110100000011000000110010100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000001101000000110000001100101000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000011010000001100000010001010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000110000010001000000010010100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000001100000100010000000100101000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000011000001000100000000001010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000110000000000100000110110000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000001100000000001000001101100000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000011000000000010000010011000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000110000010001000000010110000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000001100000100010000000101100000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000011000001000100000000011000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000110100000000100000111100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000001101000000001000001111000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000011010000000010000010110000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000110000010001000000011100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000001100000100010000000111000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000011000001000100000000110000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000110000000010100000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000001100000000101000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000011000000001010000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000110100000010100000110101100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000001101000000101000001101011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000011010000001010000010010110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000110000010001000000010101100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000001100000100010000000101011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000011000001000100000000010110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000110000000001100000111001000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000001100000000011000001110010000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000011000000000110000010100100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000110000010001000000011001000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000001100000100010000000110010000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000011000001000100000000100100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000110100000001100000110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000001101000000011000001100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000011010000000110000010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000110000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000001100000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000011000001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000110000000011100000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000001100000000111000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000011000000001110000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000110100000011100000110000010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000001101000000111000001100000100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000011010000001110000010000001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000110000010001000000010000010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000001100000100010000000100000100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000011000001000100000000000001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000110000000000010000110011000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000001100000000000100001100110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000011000000000001000010001100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000110000010001000000010011000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000001100000100010000000100110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000011000001000100000000001100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000110100000000010000111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000001101000000000100001110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000011010000000001000010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000110000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000001100000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000011000001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000110000000010010000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000001100000000100100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000011000000001001000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000110100000010010000110110010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000001101000000100100001101100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000011010000001001000010011001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000110000010001000000010110010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000001100000100010000000101100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000011000001000100000000011001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000110000000001010000111111000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000001100000000010100001111110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000011000000000101000010111100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000110000010001000000011111000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000001100000100010000000111110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000011000001000100000000111100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000110100000001010000110110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000001101000000010100001101100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000011010000000101000010011000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000110000010001000000010110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000001100000100010000000101100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000011000001000100000000011000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000110000000011010000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000001100000000110100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000011000000001101000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000110100000011010000110011010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000001101000000110100001100110100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000011010000001101000010001101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000110000010001000000010011010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000001100000100010000000100110100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000011000001000100000000001101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000110000000000110000110100100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000001100000000001100001101001000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000011000000000011000010010010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001110000010001000000010100100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000011100000100010000000101001000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000111000001000100000000010010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001110100000000110000111110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000011101000000001100001111100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000111010000000011000010111000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001110000010001000000011110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000011100000100010000000111100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000111000001000100000000111000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001110000000010110000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000011100000000101100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000111000000001011000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001110100000010110000110100110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000011101000000101100001101001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000111010000001011000010010011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001110000010001000000010100110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000011100000100010000000101001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000111000001000100000000010011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001110000000001110000111010100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000011100000000011100001110101000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000111000000000111000010101010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001110000010001000000011010100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000011100000100010000000110101000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000111000001000100000000101010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001110100000001110000110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000011101000000011100001100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000111010000000111000010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001110000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000011100000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000111000001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001110000000011110000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000011100000000111100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000111000000001111000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001110100000011110000110001110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000011101000000111100001100011100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000111010000001111000010000111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001110000010001000000010001110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000011100000100010000000100011100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000111000001000100000000000111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001110000000000001000110001100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000011100000000000010001100011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000111000000000000100010000110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001110000010001000000010001100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000011100000100010000000100011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000111000001000100000000000110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001110100000000001000111001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011101000000000010001110010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000111010000000000100010100100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001110000010001000000011001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011100000100010000000110010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000111000001000100000000100100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001110000000010001000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011100000000100010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000111000000001000100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000010000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000100000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000001000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000010000000000000001110100000010001000110111110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000100000000000000011101000000100010001101111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000001000000000000000111010000001000100010011111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000010000000000000001110000010001000000010111110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000100000000000000011100000100010000000101111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000001000000000000000111000001000100000000011111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000010000000000000001110000000001001000111101100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000100000000000000011100000000010010001111011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000001000000000000000111000000000100100010110110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000010000000000000001110000010001000000011101100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000100000000000000011100000100010000000111011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000001000000000000000111000001000100000000110110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000010000000000000001110100000001001000110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000100000000000000011101000000010010001101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000001000000000000000111010000000100100010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000010000000000000001110000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000100000000000000011100000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000001000000000000000111000001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000010000000000000001110000000011001000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000100000000000000011100000000110010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000001000000000000000111000000001100100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001110100000011001000110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011101000000110010001100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000111010000001100100010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001110000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011100000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000111000001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001110000000000101000110111100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000011100000000001010001101111000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000111000000000010100010011110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001110000010001000000010111100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000011100000100010000000101111000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000111000001000100000000011110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001110100000000101000111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000011101000000001010001111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000111010000000010100010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001110000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000011100000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000111000001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001110000000010101000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000011100000000101010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000111000000001010100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001110100000010101000110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000011101000000101010001101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000111010000001010100010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001110000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000011100000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000111000001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001110000000001101000111000010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011100000000011010001110000100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000111000000000110100010100001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001110000010001000000011000010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011100000100010000000110000100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000111000001000100000000100001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001110100000001101000110011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011101000000011010001100110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000111010000000110100010001100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001110000010001000000010011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011100000100010000000100110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000111000001000100000000001100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001110000000011101000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000011100000000111010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000111000000001110100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001110100000011101000110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000011101000000111010001100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000111010000001110100010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001110000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000011100000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000111000001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001110000000000011000110010010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000011100000000000110001100100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000111000000000001100010001001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001110000010001000000010010010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000011100000100010000000100100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000111000001000100000000001001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001110100000000011000111011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000011101000000000110001110110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000111010000000001100010101100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001110000010001000000011011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000011100000100010000000110110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000111000001000100000000101100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001110000000010011000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011100000000100110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000111000000001001100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001110100000010011000110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011101000000100110001101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000111010000001001100010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001110000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011100000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000111000001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001110000000001011000111110010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011100000000010110001111100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000111000000000101100010111001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001110000010001000000011110010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011100000100010000000111100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000111000001000100000000111001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001110100000001011000110111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011101000000010110001101110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000111010000000101100010011100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001110000010001000000010111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011100000100010000000101110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000111000001000100000000011100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001110000000011011000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011100000000110110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000111000000001101100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001110100000011011000110011101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011101000000110110001100111010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000111010000001101100010001110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001110000010001000000010011101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011100000100010000000100111010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000111000001000100000000001110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001110000000000111000110101010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011100000000001110001101010100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000111000000000011100010010101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000001000010001000000010101010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000000010000100010000000101010100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000000100001000100000000010101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000001100000000111000111111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000000011000000001110001111110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000000110000000011100010111100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000001000010001000000011111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000000010000100010000000111110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000000100001000100000000111100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000001000000010111000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000000010000000101110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000000100000001011100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000001100000010111000110100011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000000011000000101110001101000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000000110000001011100010010001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000001000010001000000010100011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000000010000100010000000101000110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000000100001000100000000010001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000001000000001111000111011010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000000010000000011110001110110100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000000100000000111100010101101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000001000010001000000011011010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000000010000100010000000110110100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000000100001000100000000101101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000001100000001111000110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000000011000000011110001100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000000110000000111100010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000001000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000000010000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000000100001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000001000000011111000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000000010000000111110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000000100000001111100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000001100000011111000110001011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000000011000000111110001100010110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000000110000001111100010000101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000001000010001000000010001011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000000010000100010000000100010110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000000100001000100000000000101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000001000000000000100110000110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000000010000000000001001100001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000000100000000000010010000011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000001000010001000000010000110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000000010000100010000000100001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000000100001000100000000000011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000001100000000000100111000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000000011000000000001001110001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000000110000000000010010100010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000001000010001000000011000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000000010000100010000000110001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000000100001000100000000100010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000001000000010000100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000000010000000100001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000000100000001000010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000001100000010000100110111011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000000011000000100001001101110110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000000110000001000010010011101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000001000010001000000010111011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000000010000100010000000101110110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000000100001000100000000011101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000010000000000000000001000000001000100111100110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000100000000000000000010000000010001001111001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000001000000000000000000100000000100010010110011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000010000000000000000001000010001000000011100110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000100000000000000000010000100010000000111001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000001000000000000000000100001000100000000110011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000010000000000000000001100000001000100110100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000100000000000000000011000000010001001101001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000001000000000000000000110000000100010010010010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000010000000000000000001000010001000000010100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000100000000000000000010000100010000000101001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000001000000000000000000100001000100000000010010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000010000000000000000001000000011000100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000100000000000000000010000000110001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000001000000000000000000100000001100010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000010000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000010000000000100000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000001000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000001000000000010000000000000000001100000011000100110010111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000100000000000000000011000000110001001100101110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000001000000000000000000110000001100010010001011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000010000000000000000001000010001000000010010111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000010000000000100000000000000000010000100010000000100101110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000000100001000100000000001011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000001000000000100100110110110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000000010000000001001001101101100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000000100000000010010010011011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000001000010001000000010110110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000000010000100010000000101101100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000000100001000100000000011011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000001100000000100100111100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000000011000000001001001111001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000000110000000010010010110010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000001000010001000000011100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000000010000100010000000111001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000000100001000100000000110010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000001000000010100100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000000010000000101001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000000100000001010010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000001100000010100100110101111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000000011000000101001001101011110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000000110000001010010010010111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000001000010001000000010101111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000000010000100010000000101011110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000000100001000100000000010111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000001000000001100100111001110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000000010000000011001001110011100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000000100000000110010010100111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000001000010001000000011001110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000000010000100010000000110011100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000000100001000100000000100111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000001100000001100100110010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000000011000000011001001100101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000000110000000110010010001010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000001000010001000000010010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000000010000100010000000100101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000000100001000100000000001010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000001000000011100100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000000010000000111001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000000100000001110010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000001100000011100100110000000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000000011000000111001001100000001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000000110000001110010010000000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000001000010001000000010000000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000000010000100010000000100000001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000000100001000100000000000000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000001000000000010100110011110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000000010000000000101001100111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000000100000000001010010001111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000001000010001000000010011110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000000010000100010000000100111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000000100001000100000000001111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000001100000000010100111010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000000011000000000101001110101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000000110000000001010010101010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000001000010001000000011010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000000010000100010000000110101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000000100001000100000000101010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000001000000010010100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000000010000000100101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000000100000001001010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000001100000010010100110110000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000000011000000100101001101100001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000000110000001001010010011000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000001000010001000000010110000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000000010000100010000000101100001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000000100001000100000000011000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000001000000001010100111111110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000000010000000010101001111111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000000100000000101010010111111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000001000010001000000011111110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000000010000100010000000111111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000000100001000100000000111111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000001100000001010100110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000000011000000010101001101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000000110000000101010010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000001000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000000010000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000000100001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000001000000011010100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000000010000000110101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000000100000001101010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000001100000011010100110011000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000000011000000110101001100110001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000000110000001101010010001100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000001000010001000000010011000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000000010000100010000000100110001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000000100001000100000000001100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000001000000000110100110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000000010000000001101001101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000000100000000011010010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001001000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000010010000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000100100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001001100000000110100111110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000010011000000001101001111101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000100110000000011010010111010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001001000010001000000011110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000010010000100010000000111101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000100100001000100000000111010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001001000000010110100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000010010000000101101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000100100000001011010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001001100000010110100110100100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000010011000000101101001101001001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000100110000001011010010010010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001001000010001000000010100100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000010010000100010000000101001001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000100100001000100000000010010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001001000000001110100111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000010010000000011101001110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000100100000000111010010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001001000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000010010000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000100100001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001001100000001110100110001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000010011000000011101001100011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000100110000000111010010000110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001001000010001000000010001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000010010000100010000000100011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000100100001000100000000000110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001001000000011110100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000010010000000111101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000100100000001111010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001001100000011110100110001100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000010011000000111101001100011001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000100110000001111010010000110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001001000010001000000010001100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000010010000100010000000100011001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000100100001000100000000000110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001001000000000001100110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000010010000000000011001100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000100100000000000110010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001001000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000010010000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000100100001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001001100000000001100111001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000010011000000000011001110011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000100110000000000110010100110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001001000010001000000011001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000010010000100010000000110011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000100100001000100000000100110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001001000000010001100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000010010000000100011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000100100000001000110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001001100000010001100110111100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000010011000000100011001101111001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000100110000001000110010011110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001001000010001000000010111100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000010010000100010000000101111001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000100100001000100000000011110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001001000000001001100111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000010010000000010011001111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000100100000000100110010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001001000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000010010000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000100100001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001001100000001001100110101101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000010011000000010011001101011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000001000000000000000100110000000100110010010110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000010000000000000001001000010001000000010101101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000100000000000000010010000100010000000101011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000001000000000000000100100001000100000000010110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000010000000000000001001000000011001100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000100000000000000010010000000110011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000001000000000000000100100000001100110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000010000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000010000000000100000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000001000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000001000000000010000000000000001001100000011001100110010010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000100000000000000010011000000110011001100100101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000001000000000000000100110000001100110010001001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000010000000000000001001000010001000000010010010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000010000000000100000000000000010010000100010000000100100101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000100000000001000000000000000100100001000100000000001001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000001000000000010000000000000001001000000000101100110111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000100000000000000010010000000001011001101110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000001000000000000000100100000000010110010011100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000000000000000100001001000010001000000010111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000000000000000000000000001000010010000100010000000101110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000000000000000000000000010000100100001000100000000011100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000000000000000000000000000100001001100000000101100111000001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000000000000001000010011000000001011001110000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000100110000000010110010100000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001001000010001000000011000001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000010010000100010000000110000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000100100001000100000000100000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001001000000010101100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000010010000000101011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000100100000001010110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001001100000010101100110011010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000010011000000101011001100110101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000100110000001010110010001101010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001001000010001000000010011010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000010010000100010000000100110101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000100100001000100000000001101010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001001000000001101100110100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000010010000000011011001101001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000100100000000110110010010010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001001000010001000000010100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000010010000100010000000101001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000100100001000100000000010010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001001100000001101100110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000010011000000011011001101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000100110000000110110010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001001000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000010010000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000100100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001001000000011101100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000010010000000111011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000100100000001110110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001001100000011101100110100110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000010011000000111011001101001101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000100110000001110110010010011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001001000010001000000010100110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000010010000100010000000101001101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000100100001000100000000010011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001001000000000011100111010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000010010000000000111001110101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000100100000000001110010101010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001001000010001000000011010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000010010000100010000000110101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000100100001000100000000101010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001001100000000011100111100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000010011000000000111001111000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000100110000000001110010110000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001001000010001000000011100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000010010000100010000000111000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000100100001000100000000110000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001001000000010011100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000010010000000100111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000100100000001001110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001001100000010011100110001110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000010011000000100111001100011101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000100110000001001110010000111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001001000010001000000010001110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000010010000100010000000100011101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000100100001000100000000000111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001001000000001011100110001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000010010000000010111001100011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000100100000000101110010000110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001001000010001000000010001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000010010000100010000000100011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000100100001000100000000000110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001001100000001011100110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000010011000000010111001100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000100110000000101110010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001001000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000010010000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000100100001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001001000000011011100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000010010000000110111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000100100000001101110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001001100000011011100110111110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000010011000000110111001101111101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000100110000001101110010011111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001001000010001000000010111110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000010010000100010000000101111101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000100100001000100000000011111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001001000000000111100111101101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000010010000000001111001111011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000100100000000011110010110110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000101000010001000000011101101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000001010000100010000000111011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000010100001000100000000110110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000101100000000111100111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000001011000000001111001110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000010110000000011110010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000101000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000001010000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000010100001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000101000000010111100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000001010000000101111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000010100000001011110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000101100000010111100110010001100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000001011000000101111001100100011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000010110000001011110010001000110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000101000010001000000010010001100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000001010000100010000000100100011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000010100001000100000000001000110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000101000000001111100110111101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000001010000000011111001101111010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000010100000000111110010011110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000101000010001000000010111101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000001010000100010000000101111010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000010100001000100000000011110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000101100000001111100110110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000001011000000011111001101100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000010110000000111110010011000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000101000010001000000010110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000001010000100010000000101100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000010100001000100000000011000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000101000000011111100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000001010000000111111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000010100000001111110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000101100000011111100110101001100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000001011000000111111001101010011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000010110000001111110010010100110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000101000010001000000010101001100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000001010000100010000000101010011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000010100001000100000000010100110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000101000000000000010111000011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000001010000000000000101110000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000010100000000000001010100001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000101000010001000000011000011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000001010000100010000000110000110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000010100001000100000000100001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000101100000000000010111110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000001011000000000000101111100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000010110000000000001010111000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000101000010001000000011110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000001010000100010000000111100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000010100001000100000000111000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000101000000010000010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000001010000000100000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000010100000001000001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000101100000010000010110000101100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000001011000000100000101100001011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000010110000001000001010000010110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000101000010001000000010000101100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000001010000100010000000100001011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000010100001000100000000000010110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000101000000001000010110010011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000001010000000010000101100100110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000010100000000100001010001001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000101000010001000000010010011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000001010000100010000000100100110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000010100001000100000000001001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000101100000001000010110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000001011000000010000101100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000010110000000100001010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000101000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000001010000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000010100001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000101000000011000010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000001010000000110000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000010100000001100001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000001000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000001000000000010000000000000000101100000011000010110110101100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000100000000000000001011000000110000101101101011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000001000000000000000010110000001100001010011010110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000010000000000000000101000010001000000010110101100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000010000000000100000000000000001010000100010000000101101011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000100000000001000000000000000010100001000100000000011010110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000001000000000010000000000000000101000000000100010111110011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000100000000000000001010000000001000101111100110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000001000000000000000010100000000010001010111001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000000000000000100000101000010001000000011110011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000000000000000000000000001000001010000100010000000111100110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000000000000000000000000010000010100001000100000000111001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000000000000000000000000000100000101100000000100010111001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000000000000001000001011000000001000101110010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000000000000000010000010110000000010001010100100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000000000000000100000101000010001000000011001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000000000000001000001010000100010000000110010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000000000000000010000010100001000100000000100100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000000000000000100000101000000010100010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000000000000001000001010000000101000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000000000000000010000010100000001010001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000000000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000101100000010100010110011101100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000001011000000101000101100111011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000010110000001010001010001110110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000101000010001000000010011101100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000001010000100010000000100111011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000010100001000100000000001110110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000101000000001100010110101011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000001010000000011000101101010110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000010100000000110001010010101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000101000010001000000010101011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000001010000100010000000101010110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000010100001000100000000010101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000101100000001100010110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000001011000000011000101101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000010110000000110001010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000101000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000001010000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000010100001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000101000000011100010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000001010000000111000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000010100000001110001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000101100000011100010110100011100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000001011000000111000101101000111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000010110000001110001010010001110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000101000010001000000010100011100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000001010000100010000000101000111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000010100001000100000000010001110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000101000000000010010111011011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000001010000000000100101110110110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000010100000000001001010101101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000101000010001000000011011011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000001010000100010000000110110110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000010100001000100000000101101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000101100000000010010111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000001011000000000100101111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000010110000000001001010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000101000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000001010000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000010100001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000101000000010010010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000001010000000100100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000010100000001001001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000101100000010010010110001011100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000001011000000100100101100010111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000010110000001001001010000101110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000101000010001000000010001011100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000001010000100010000000100010111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000010100001000100000000000101110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000101000000001010010110000111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000001010000000010100101100001110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000010100000000101001010000011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000101000010001000000010000111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000001010000100010000000100001110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000010100001000100000000000011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000101100000001010010110011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000001011000000010100101100110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000010110000000101001010001100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000101000010001000000010011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000001010000100010000000100110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000010100001000100000000001100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000101000000011010010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000001010000000110100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000010100000001101001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000101100000011010010110111011100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000001011000000110100101101110111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000010110000001101001010011101110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000101000010001000000010111011100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000001010000100010000000101110111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000010100001000100000000011101110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000101000000000110010111100111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000001010000000001100101111001110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000010100000000011001010110011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001101000010001000000011100111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000011010000100010000000111001110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000110100001000100000000110011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001101100000000110010111011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000011011000000001100101110110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000110110000000011001010101100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001101000010001000000011011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000011010000100010000000110110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000110100001000100000000101100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001101000000010110010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000011010000000101100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000110100000001011001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001101100000010110010110010111100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000011011000000101100101100101111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000110110000001011001010001011110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001101000010001000000010010111100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000011010000100010000000100101111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000110100001000100000000001011110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001101000000001110010110110111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000011010000000011100101101101110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000110100000000111001010011011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001101000010001000000010110111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000011010000100010000000101101110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000110100001000100000000011011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001101100000001110010110111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000011011000000011100101101110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000110110000000111001010011100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001101000010001000000010111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000011010000100010000000101110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000110100001000100000000011100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001101000000011110010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000011010000000111100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000110100000001111001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001101100000011110010110101111100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000011011000000111100101101011111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000110110000001111001010010111110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001101000010001000000010101111100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000011010000100010000000101011111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000110100001000100000000010111110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001101000000000001010111001111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000011010000000000010101110011110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000110100000000000101010100111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001101000010001000000011001111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000011010000100010000000110011110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000110100001000100000000100111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001101100000000001010111111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011011000000000010101111110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000110110000000000101010111100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001101000010001000000011111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011010000100010000000111110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000110100001000100000000111100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001101000000010001010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011010000000100010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000110100000001000101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001101100000010001010110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000011011000000100010101100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000110110000001000101010000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001101000010001000000010000000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000011010000100010000000100000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000110100001000100000000000000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001101000000001001010110011111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000011010000000010010101100111110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000110100000000100101010001111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001101000010001000000010011111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000011010000100010000000100111110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000110100001000100000000001111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001101100000001001010110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000011011000000010010101100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000110110000000100101010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001101000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000011010000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000110100001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001101000000011001010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000011010000000110010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000110100000001100101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001101100000011001010110110000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011011000000110010101101100000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000110110000001100101010011000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001101000010001000000010110000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011010000100010000000101100000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000110100001000100000000011000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001101000000000101010111111111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000100000000000000011010000000001010101111111110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000001000000000000000110100000000010101010111111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000000000000000100001101000010001000000011111111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000000000000000000000000001000011010000100010000000111111110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000000000000000000000000010000110100001000100000000111111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000000000000000000000000000100001101100000000101010111000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000000000000001000011011000000001010101110001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000000000000000010000110110000000010101010100010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000000000000000100001101000010001000000011000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000000000000001000011010000100010000000110001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000000000000000010000110100001000100000000100010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000000000000000100001101000000010101010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000000000000001000011010000000101010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000000000000000010000110100000001010101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000000000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000000000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000000000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000000000000000100001101100000010101010110011000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000000000000001000011011000000101010101100110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000000000000000010000110110000001010101010001100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000000000000000100001101000010001000000010011000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000000000000001000011010000100010000000100110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000000000000000010000110100001000100000000001100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000000000000000100001101000000001101010110100000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011010000000011010101101000001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000110100000000110101010010000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001101000010001000000010100000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011010000100010000000101000001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000110100001000100000000010000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001101100000001101010110100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011011000000011010101101001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000110110000000110101010010010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001101000010001000000010100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011010000100010000000101001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000110100001000100000000010010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001101000000011101010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000011010000000111010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000110100000001110101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001101100000011101010110100100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000011011000000111010101101001000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000110110000001110101010010010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001101000010001000000010100100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000011010000100010000000101001000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000110100001000100000000010010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001101000000000011010111010000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000011010000000000110101110100001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000110100000000001101010101000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001101000010001000000011010000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000011010000100010000000110100001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000110100001000100000000101000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001101100000000011010111100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000011011000000000110101111001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000110110000000001101010110010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001101000010001000000011100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000011010000100010000000111001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000110100001000100000000110010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001101000000010011010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011010000000100110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000110100000001001101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001101100000010011010110001100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011011000000100110101100011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000110110000001001101010000110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001101000010001000000010001100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011010000100010000000100011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000110100001000100000000000110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001101000000001011010110001000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011010000000010110101100010001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000110100000000101101010000100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001101000010001000000010001000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011010000100010000000100010001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000110100001000100000000000100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001101100000001011010110010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011011000000010110101100101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000110110000000101101010001010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001101000010001000000010010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011010000100010000000100101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000110100001000100000000001010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001101000000011011010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011010000000110110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000110100000001101101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001101100000011011010110111100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011011000000110110101101111000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000110110000001101101010011110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001101000010001000000010111100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011010000100010000000101111000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000110100001000100000000011110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001101000000000111010111101000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011010000000001110101111010001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000110100000000011101010110100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000011000010001000000011101000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000000110000100010000000111010001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000001100001000100000000110100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000011100000000111010111010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000000111000000001110101110101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000001110000000011101010101010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000011000010001000000011010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000000110000100010000000110101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000001100001000100000000101010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000011000000010111010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000000110000000101110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000001100000001011101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000011100000010111010110010010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000000111000000101110101100100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000001110000001011101010001001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000011000010001000000010010010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000000110000100010000000100100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000001100001000100000000001001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000011000000001111010110111000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000000110000000011110101101110001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000001100000000111101010011100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000011000010001000000010111000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000000110000100010000000101110001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000001100001000100000000011100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000011100000001111010110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000000111000000011110101101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000001110000000111101010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000011000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000000110000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000001100001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000011000000011111010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000000110000000111110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000001100000001111101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000011100000011111010110101010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000000111000000111110101101010100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000001110000001111101010010101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000011000010001000000010101010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000000110000100010000000101010100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000001100001000100000000010101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000011000000000000110111000100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000000110000000000001101110001001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000001100000000000011010100010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000011000010001000000011000100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000000110000100010000000110001001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000001100001000100000000100010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000011100000000000110111110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000000111000000000001101111101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000001110000000000011010111010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000011000010001000000011110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000000110000100010000000111101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000001100001000100000000111010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000011000000010000110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000000110000000100001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000001100000001000011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000011100000010000110110000110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000000111000000100001101100001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000001110000001000011010000011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000011000010001000000010000110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000000110000100010000000100001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000001100001000100000000000011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000011000000001000110110010100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000000110000000010001101100101001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000001100000000100011010001010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000011000010001000000010010100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000000110000100010000000100101001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000001100001000100000000001010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000011100000001000110110001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000000111000000010001101100011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000001110000000100011010000110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000011000010001000000010001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000000110000100010000000100011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000001100001000100000000000110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000011000000011000110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000000110000000110001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000001100000001100011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000011100000011000110110110110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000000111000000110001101101101100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000001110000001100011010011011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000011000010001000000010110110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000000110000100010000000101101100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000001100001000100000000011011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000011000000000100110111110100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000000110000000001001101111101001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000001100000000010011010111010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000011000010001000000011110100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000000110000100010000000111101001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000001100001000100000000111010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000011100000000100110111001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000000111000000001001101110011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000001110000000010011010100110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000011000010001000000011001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000000000000001000000110000100010000000110011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000000000000000010000001100001000100000000100110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000000000000000100000011000000010100110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000000000000001000000110000000101001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000000000000000010000001100000001010011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000000000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000000000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000000000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000000000000000100000011100000010100110110011110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000000000000001000000111000000101001101100111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000000000000000010000001110000001010011010001111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000000000000000100000011000010001000000010011110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000000000000001000000110000100010000000100111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000000000000000010000001100001000100000000001111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000000000000000100000011000000001100110110101100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000000000000001000000110000000011001101101011001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000000000000000010000001100000000110011010010110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000000000000000100000011000010001000000010101100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000000000000001000000110000100010000000101011001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000000000000000010000001100001000100000000010110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000000000000000100000011100000001100110110101101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000000000000001000000111000000011001101101011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000000000000000010000001110000000110011010010110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000011000010001000000010101101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000000110000100010000000101011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000001100001000100000000010110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000011000000011100110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000000110000000111001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000001100000001110011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000011100000011100110110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000000111000000111001101101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000001110000001110011010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000011000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000000110000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000001100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000011000000000010110111011100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000000110000000000101101110111001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000001100000000001011010101110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000011000010001000000011011100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000000110000100010000000110111001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000001100001000100000000101110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000011100000000010110111000001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000000111000000000101101110000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000001110000000001011010100000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000011000010001000000011000001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000000110000100010000000110000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000001100001000100000000100000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000011000000010010110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000000110000000100101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000001100000001001011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000011100000010010110110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000000111000000100101101101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000001110000001001011010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000011000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000000110000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000001100001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000011000000001010110111000010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000000110000000010101101110000101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000001100000000101011010100001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000011000010001000000011000010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000000110000100010000000110000101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000001100001000100000000100001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000011100000001010110110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000000111000000010101101101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000001110000000101011010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000011000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000000110000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000001100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000011000000011010110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000000110000000110101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000001100000001101011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000011100000011010110110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000000111000000110101101100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000001110000001101011010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000011000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000000110000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000001100001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000011000000000110110110010010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000000110000000001101101100100101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000001100000000011011010001001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001011000010001000000010010010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000010110000100010000000100100101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000101100001000100000000001001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001011100000000110110111100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000010111000000001101101111000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000101110000000011011010110000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001011000010001000000011100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000010110000100010000000111000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000101100001000100000000110000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001011000000010110110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000010110000000101101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000101100000001011011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001011100000010110110110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000010111000000101101101101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000101110000001011011010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001011000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000010110000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000101100001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001011000000001110110111110010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000010110000000011101101111100101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000101100000000111011010111001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001011000010001000000011110010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000010110000100010000000111100101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000101100001000100000000111001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001011100000001110110110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000010111000000011101101100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000101110000000111011010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001011000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000010110000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000101100001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001011000000011110110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000010110000000111101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000101100000001111011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001011100000011110110110011101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000010111000000111101101100111010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000101110000001111011010001110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001011000010001000000010011101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000010110000100010000000100111010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000101100001000100000000001110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001011000000000001110110101010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000010110000000000011101101010101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000101100000000000111010010101010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001011000010001000000010101010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000010110000100010000000101010101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000101100001000100000000010101010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001011100000000001110111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000010111000000000011101110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000101110000000000111010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001011000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000010110000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000101100001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001011000000010001110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000010110000000100011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000101100000001000111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001011100000010001110110100011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000010111000000100011101101000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000101110000001000111010010001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001011000010001000000010100011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000010110000100010000000101000110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000101100001000100000000010001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001011000000001001110111011010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000010110000000010011101110110101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000101100000000100111010101101010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001011000010001000000011011010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000010110000100010000000110110101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000101100001000100000000101101010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001011100000001001110110110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000010111000000010011101101100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000101110000000100111010011000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001011000010001000000010110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000010110000100010000000101100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000101100001000100000000011000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001011000000011001110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000010110000000110011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000101100000001100111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001011100000011001110110001011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000010111000000110011101100010110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000101110000001100111010000101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001011000010001000000010001011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000010110000100010000000100010110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000101100001000100000000000101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001011000000000101110110000110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000010110000000001011101100001101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000101100000000010111010000011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001011000010001000000010000110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000010110000100010000000100001101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000101100001000100000000000011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001011100000000101110111110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000010111000000001011101111100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000101110000000010111010111000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001011000010001000000011110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000010110000100010000000111100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000101100001000100000000111000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001011000000010101110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000010110000000101011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000101100000001010111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000000000000000100001011100000010101110110111011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000000000000001000010111000000101011101101110110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000000000000000010000101110000001010111010011101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000000000000000100001011000010001000000010111011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000000000000001000010110000100010000000101110110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000000000000000010000101100001000100000000011101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000000000000000100001011000000001101110111100110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000000000000001000010110000000011011101111001101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000000000000000010000101100000000110111010110011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000000000000000100001011000010001000000011100110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000000000000001000010110000100010000000111001101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000000000000000010000101100001000100000000110011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000000000000000100001011100000001101110110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000000000000001000010111000000011011101100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000000000000000010000101110000000110111010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000000000000000100001011000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000000000000001000010110000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000000000000000010000101100001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000000000000000100001011000000011101110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000000000000001000010110000000111011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000010000101100000001110111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001011100000011101110110010111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000010111000000111011101100101110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000101110000001110111010001011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001011000010001000000010010111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000010110000100010000000100101110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000101100001000100000000001011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001011000000000011110110110110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000010110000000000111101101101101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000101100000000001111010011011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001011000010001000000010110110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000010110000100010000000101101101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000101100001000100000000011011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001011100000000011110111001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000010111000000000111101110010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000101110000000001111010100100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001011000010001000000011001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000010110000100010000000110010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000101100001000100000000100100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001011000000010011110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000010110000000100111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000101100000001001111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001011100000010011110110101111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000010111000000100111101101011110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000101110000001001111010010111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001011000010001000000010101111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000010110000100010000000101011110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000101100001000100000000010111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001011000000001011110111001110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000010110000000010111101110011101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000101100000000101111010100111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001011000010001000000011001110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000010110000100010000000110011101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000101100001000100000000100111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001011100000001011110110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000010111000000010111101101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000101110000000101111010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001011000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000010110000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000101100001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001011000000011011110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000010110000000110111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000101100000001101111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001011100000011011110110000000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000010111000000110111101100000001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000101110000001101111010000000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001011000010001000000010000000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000010110000100010000000100000001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000101100001000100000000000000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001011000000000111110110011110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000010110000000001111101100111101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000101100000000011111010001111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000111000010001000000010011110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000001110000100010000000100111101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000011100001000100000000001111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000111100000000111110111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000001111000000001111101111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000011110000000011111010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000111000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000001110000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000011100001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000111000000010111110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000001110000000101111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000011100000001011111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000111000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000001110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000011100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000111100000010111110110110000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000001111000000101111101101100001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000011110000001011111010011000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000111000010001000000010110000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000001110000100010000000101100001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000011100001000100000000011000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000111000000001111110111111110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000001110000000011111101111111101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000011100000000111111010111111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000111000010001000000011111110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000001110000100010000000111111101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000011100001000100000000111111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000111100000001111110110011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000001111000000011111101100110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000011110000000111111010001100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000111000010001000000010011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000001110000100010000000100110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000011100001000100000000001100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000111000000011111110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000001110000000111111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000011100000001111111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000111000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000001110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000011100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000111100000011111110110011000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000001111000000111111101100110001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000011110000001111111010001100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000111000010001000000010011000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000001110000100010000000100110001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000011100001000100000000001100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000111111111111111111110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000001111111111111111111100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000011111111111111111110000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000111000000111111101110000011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000001110000001111111011100000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000011100000011111110110000001100100000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000111000000010111111011101001101000000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000001110000000101111110111010011010000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000011100000001011111100110100110010000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000111100000010111111011110000100100000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000001111000000101111110111100001001000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000011110000001011111100111000010110000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000111000000001111111011100000101100000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000001110000000011111110111000001011000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000011100000000111111100110000010001000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000111011111111111111110000000000010000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000001110111111111111111100000000000100000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000011101111111111111110000000000101000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000111100000111000011111100000101010000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000001111000001110000111111000001010100000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000011110000011100001110110000010000000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000111000000100100011110000001000000000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000001110000001001000111100000010000000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000011100000010010001110000000100100000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000111000000001111111011100000101000000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000001110000000011111110111000001010000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000011100000000111111100110000010010000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000111100000001111111010000010100100000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000001111000000011111110100000101001000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000011110000000111111100000001010110000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000111000000011111111011001100101100000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000001110000000111111110110011001011000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000011100000001111111100100110010001000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000111100000011111111010000000100010000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000001111000000111111110100000001000100000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000011110000001111111100000000010101000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000111011111111111111110000000001010000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000001110111111111111111100000000010100000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000011101111111111111110000000000000000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000111100000100110010010011001000000000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000001111000001001100100100110010000000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000011100000011011001000100110010100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000010000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000100000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000001000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000000000000000010000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000000000000000100000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000000000000001000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000001000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000000000000000100000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000000000000001000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000001000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000000000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000000000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000000000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110001001000100000000000000000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001010010001000000000000000000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000010100100010000000000000000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011001000100100010000000000000000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000101110111111111111111000110000001001000100000000000000000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111010100100010100000000000000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110101001000101000000000000000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101100000010010001000000000000100000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111100010010001010000000000100000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110000100100010100000000001000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111110001001000101000000000010000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101100001010010001010000000000100000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100100010010001010000000000100000000000000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000010111011111111111111100011000000100100010100000000001000000000000000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111101010010001000000000000000000000000000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111010100100010000000000000000000000000000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100101001000100000000000000000000000000000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100000010010001010000000000000000000000000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000001000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000010000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110101001000101000000000000000000000000000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000010010001000000000000100000000000000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011111000100100010000000000001000000000000000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110001001000100000000000010000000000000000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101010010001000000000000100000000000000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111010100100010000000000001000000000000000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010110000101001000100000000000010000000000000000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110010111001000101000000000000000000000100000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001011101111111111111110001100000110010001000000000000100000000001000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110011001000101000000000010000000000000000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001010000000000100000000000000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010100000000001000000000000000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111110111001000101000000000010000000000000000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001000000000000000000000001000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000000000000000010000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000100000000000000000000000100000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111011100100010000000000000000000000010000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000100000000000000000000000100000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001010000000000000000000001000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010100000000000000000000010000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000101000000000000000000000100000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001010000000000000000000001000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010100000000000000000000010000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000111001000101000000000000000000000100000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011001011100100010000000000000000000000000000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000101110111111111111111000110000111001000100000000000000000000000000000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111100110010001000000000000100000000001000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000001000000000010000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000100000000000010000000000100000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001000000000000100000000001000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010000000000001000000000010000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100111001000100000000000010000000000100000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001010000000000100000000001000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011000001100100010100000000001000000000010000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111101110010001010000000000100000000001000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010100000000001000000000010000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110111001000101000000000010000000000100000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000110010001000000000000000000000000000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000001100100010000000000000000000000000000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110011001000100000000000000000000000000000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001000000000000000000000000000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010000000000000000000000000000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100101110010001010000000000100000000000000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000010111011111111111111100011000011100100010100000000001000000000000000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110111001000100000000000000000000000000000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001010000000000000000000000000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111001100100010100000000000000000000000000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110011001000101000000000000000000000000000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001010000000000000000000000000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010100000000000000000000000000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110111001000101000000000000000000000000000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101100000110010001000000000000100000000000000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110011001000100000000000010000000000000000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001000000000000100000000000000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011111011100100010000000000001000000000000000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000100000000000010000000000000000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001010000000000100000000000000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111001100100010100000000001000000000000000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100011001000101000000000010000000000000000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001010000000000100000000000000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010100000000001000000000000000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010110000111001000101000000000010000000000000000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110010011001000100000000000010000000000100000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001011101111111111111110001100001110010001000000000000100000000001000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111011100100010100000000001000000000000000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000101000000000010000000000000000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111000110010001000000000000000000000001000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011111001100100010000000000000000000000010000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110011001000100000000000000000000000100000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001000000000000000000000001000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010000000000000000000000010000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111110111001000100000000000000000000000100000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001010000000000000000000001000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010100000000000000000000010000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000101000000000000000000000100000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011110001100100010100000000000000000000010000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000101000000000000000000000100000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001111001110010001010000000000000000000001000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011110011100100010100000000000000000000010000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100111001000101000000000000000000000100000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001000000000000100000000001000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000001000000000010000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000100000000000010000000000100000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001000000000000100000000001000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010000000000001000000000010000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000111001000100000000000010000000000100000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011001001100100010100000000000000000000000000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000101110111111111111111000110000011001000101000000000000000000000000000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111001110010001000000000000100000000001000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011110011100100010000000000001000000000010000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000111001000100000000000010000000000100000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001111000110010001010000000000100000000001000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011110001100100010100000000001000000000010000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000101000000000010000000000100000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001010000000000100000000001000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010100000000001000000000010000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100111001000101000000000010000000000100000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001000000000000000000000000000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011000001100100010000000000000000000000000000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111101110010001000000000000000000000000000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011000011100100010000000000000000000000000000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110111001000100000000000000000000000000000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000110010001010000000000000000000000000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000001100100010100000000000000000000000000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111100011001000101000000000000000000000000000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111001110010001010000000000000000000000000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010100000000000000000000000000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100100110010001000000000000000000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000010111011111111111111100011000001100100010000000000000000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110111001000101000000000000000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000110010001000000000000100000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000001100100010000000000001000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110011001000100000000000010000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001000000000000100000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010000000000001000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111100111001000100000000000010000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100000110010001010000000000100000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110011001000101000000000010000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001010000000000100000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010100000000001000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000101000000000010000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100000110010001000000000000000000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110011001000100000000000000000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001000000000000000000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010000000000000000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010110000111001000100000000000000000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110010111001000100000000000010000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001011101111111111111110001100000110010001010000000000100000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111011100100010000000000000000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110111001000100000000000000000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001010000000000000000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010100000000000000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000101000000000000000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100001110010001010000000000000000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011111011100100010100000000000000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110111001000101000000000000000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001000000000000100000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000001000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000100000000000010000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011</data>\r
1422           <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
1423         </log>\r
1424       </trigger>\r
1425     </signal_set>\r
1426     <position_info>\r
1427       <single attribute="active tab" value="0"/>\r
1428       <single attribute="data horizontal scroll position" value="2088"/>\r
1429       <single attribute="data vertical scroll position" value="0"/>\r
1430       <single attribute="setup horizontal scroll position" value="0"/>\r
1431       <single attribute="setup vertical scroll position" value="0"/>\r
1432       <single attribute="zoom level denominator" value="1"/>\r
1433       <single attribute="zoom level numerator" value="64"/>\r
1434       <single attribute="zoom offset denominator" value="1"/>\r
1435       <single attribute="zoom offset numerator" value="1920"/>\r
1436       <multi attribute="timebars" size="3" value="1024,1155,996"/>\r
1437     </position_info>\r
1438   </instance>\r
1439   <mnemonics/>\r
1440   <static_plugin_mnemonics/>\r
1441   <global_info>\r
1442     <single attribute="active instance" value="0"/>\r
1443     <single attribute="config widget visible" value="1"/>\r
1444     <single attribute="data log widget visible" value="0"/>\r
1445     <single attribute="hierarchy widget height" value="95"/>\r
1446     <single attribute="hierarchy widget visible" value="0"/>\r
1447     <single attribute="instance widget visible" value="1"/>\r
1448     <single attribute="jtag widget visible" value="1"/>\r
1449     <single attribute="lock mode" value="0"/>\r
1450     <single attribute="sof manager visible" value="1"/>\r
1451     <multi attribute="frame size" size="2" value="1467,716"/>\r
1452     <multi attribute="jtag widget size" size="2" value="334,189"/>\r
1453   </global_info>\r
1454 </session>\r