OSDN Git Service

de0-cv integration ok.
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0-cv-analyze-all.stp
1 <session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: 5CE(BA4|FA4) (0x02B050DD)" sof_file="output_files/de0_cv_nes.sof">\r
2   <display_tree gui_logging_enabled="0">\r
3     <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
4   </display_tree>\r
5   <instance enabled="true" entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
6     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
7     <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/08/28 16:47:01  #0">\r
8       <clock name="dbg_base_clk" polarity="posedge" tap_mode="classic"/>\r
9       <config ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="8192" trigger_in_enable="no" trigger_out_enable="no"/>\r
10       <top_entity/>\r
11       <signal_vec>\r
12         <trigger_input_vec>\r
13           <wire name="b[0]" tap_mode="classic"/>\r
14           <wire name="b[1]" tap_mode="classic"/>\r
15           <wire name="b[2]" tap_mode="classic"/>\r
16           <wire name="b[3]" tap_mode="classic"/>\r
17           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[0]" tap_mode="classic"/>\r
18           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[10]" tap_mode="classic"/>\r
19           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[11]" tap_mode="classic"/>\r
20           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[12]" tap_mode="classic"/>\r
21           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[13]" tap_mode="classic"/>\r
22           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[14]" tap_mode="classic"/>\r
23           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[15]" tap_mode="classic"/>\r
24           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[16]" tap_mode="classic"/>\r
25           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[17]" tap_mode="classic"/>\r
26           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[18]" tap_mode="classic"/>\r
27           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[19]" tap_mode="classic"/>\r
28           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[1]" tap_mode="classic"/>\r
29           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[20]" tap_mode="classic"/>\r
30           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[21]" tap_mode="classic"/>\r
31           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[22]" tap_mode="classic"/>\r
32           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[23]" tap_mode="classic"/>\r
33           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[24]" tap_mode="classic"/>\r
34           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[25]" tap_mode="classic"/>\r
35           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[26]" tap_mode="classic"/>\r
36           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[27]" tap_mode="classic"/>\r
37           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[28]" tap_mode="classic"/>\r
38           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[29]" tap_mode="classic"/>\r
39           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[2]" tap_mode="classic"/>\r
40           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[30]" tap_mode="classic"/>\r
41           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[31]" tap_mode="classic"/>\r
42           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[32]" tap_mode="classic"/>\r
43           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[33]" tap_mode="classic"/>\r
44           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[34]" tap_mode="classic"/>\r
45           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[35]" tap_mode="classic"/>\r
46           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[36]" tap_mode="classic"/>\r
47           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[37]" tap_mode="classic"/>\r
48           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[38]" tap_mode="classic"/>\r
49           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[39]" tap_mode="classic"/>\r
50           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[3]" tap_mode="classic"/>\r
51           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[40]" tap_mode="classic"/>\r
52           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[41]" tap_mode="classic"/>\r
53           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[42]" tap_mode="classic"/>\r
54           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[43]" tap_mode="classic"/>\r
55           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[44]" tap_mode="classic"/>\r
56           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[45]" tap_mode="classic"/>\r
57           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[46]" tap_mode="classic"/>\r
58           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[47]" tap_mode="classic"/>\r
59           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[48]" tap_mode="classic"/>\r
60           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[49]" tap_mode="classic"/>\r
61           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[4]" tap_mode="classic"/>\r
62           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[50]" tap_mode="classic"/>\r
63           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[51]" tap_mode="classic"/>\r
64           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[52]" tap_mode="classic"/>\r
65           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[53]" tap_mode="classic"/>\r
66           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[54]" tap_mode="classic"/>\r
67           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[55]" tap_mode="classic"/>\r
68           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[56]" tap_mode="classic"/>\r
69           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[57]" tap_mode="classic"/>\r
70           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[58]" tap_mode="classic"/>\r
71           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[59]" tap_mode="classic"/>\r
72           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[5]" tap_mode="classic"/>\r
73           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[60]" tap_mode="classic"/>\r
74           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[61]" tap_mode="classic"/>\r
75           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[62]" tap_mode="classic"/>\r
76           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[63]" tap_mode="classic"/>\r
77           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[6]" tap_mode="classic"/>\r
78           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[7]" tap_mode="classic"/>\r
79           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[8]" tap_mode="classic"/>\r
80           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[9]" tap_mode="classic"/>\r
81           <wire name="dbg_addr[0]" tap_mode="classic"/>\r
82           <wire name="dbg_addr[10]" tap_mode="classic"/>\r
83           <wire name="dbg_addr[11]" tap_mode="classic"/>\r
84           <wire name="dbg_addr[12]" tap_mode="classic"/>\r
85           <wire name="dbg_addr[13]" tap_mode="classic"/>\r
86           <wire name="dbg_addr[14]" tap_mode="classic"/>\r
87           <wire name="dbg_addr[15]" tap_mode="classic"/>\r
88           <wire name="dbg_addr[1]" tap_mode="classic"/>\r
89           <wire name="dbg_addr[2]" tap_mode="classic"/>\r
90           <wire name="dbg_addr[3]" tap_mode="classic"/>\r
91           <wire name="dbg_addr[4]" tap_mode="classic"/>\r
92           <wire name="dbg_addr[5]" tap_mode="classic"/>\r
93           <wire name="dbg_addr[6]" tap_mode="classic"/>\r
94           <wire name="dbg_addr[7]" tap_mode="classic"/>\r
95           <wire name="dbg_addr[8]" tap_mode="classic"/>\r
96           <wire name="dbg_addr[9]" tap_mode="classic"/>\r
97           <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
98           <wire name="dbg_cpu_mem_clk" tap_mode="classic"/>\r
99           <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
100           <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
101           <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
102           <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
103           <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
104           <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
105           <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
106           <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
107           <wire name="dbg_ea_carry" tap_mode="classic"/>\r
108           <wire name="dbg_emu_ppu_clk" tap_mode="classic"/>\r
109           <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
110           <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
111           <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
112           <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
113           <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
114           <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
115           <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
116           <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
117           <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
118           <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
119           <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
120           <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
121           <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
122           <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
123           <wire name="dbg_nmi" tap_mode="classic"/>\r
124           <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
125           <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
126           <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
127           <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
128           <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
129           <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
130           <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
131           <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
132           <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
133           <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
134           <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
135           <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
136           <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
137           <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
138           <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
139           <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
140           <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
141           <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
142           <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
143           <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
144           <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
145           <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
146           <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
147           <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
148           <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
149           <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
150           <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
151           <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
152           <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
153           <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
154           <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
155           <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
156           <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
157           <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
158           <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
159           <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
160           <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
161           <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
162           <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
163           <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
164           <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
165           <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
166           <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
167           <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
168           <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
169           <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
170           <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
171           <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
172           <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
173           <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
174           <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
175           <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
176           <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
177           <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
178           <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
179           <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
180           <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
181           <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
182           <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
183           <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
184           <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
185           <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
186           <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
187           <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
188           <wire name="dbg_r_nw" tap_mode="classic"/>\r
189           <wire name="dbg_status[0]" tap_mode="classic"/>\r
190           <wire name="dbg_status[1]" tap_mode="classic"/>\r
191           <wire name="dbg_status[2]" tap_mode="classic"/>\r
192           <wire name="dbg_status[3]" tap_mode="classic"/>\r
193           <wire name="dbg_status[4]" tap_mode="classic"/>\r
194           <wire name="dbg_status[5]" tap_mode="classic"/>\r
195           <wire name="dbg_status[6]" tap_mode="classic"/>\r
196           <wire name="dbg_status[7]" tap_mode="classic"/>\r
197           <wire name="dbg_v_addr[0]" tap_mode="classic"/>\r
198           <wire name="dbg_v_addr[10]" tap_mode="classic"/>\r
199           <wire name="dbg_v_addr[11]" tap_mode="classic"/>\r
200           <wire name="dbg_v_addr[12]" tap_mode="classic"/>\r
201           <wire name="dbg_v_addr[13]" tap_mode="classic"/>\r
202           <wire name="dbg_v_addr[1]" tap_mode="classic"/>\r
203           <wire name="dbg_v_addr[2]" tap_mode="classic"/>\r
204           <wire name="dbg_v_addr[3]" tap_mode="classic"/>\r
205           <wire name="dbg_v_addr[4]" tap_mode="classic"/>\r
206           <wire name="dbg_v_addr[5]" tap_mode="classic"/>\r
207           <wire name="dbg_v_addr[6]" tap_mode="classic"/>\r
208           <wire name="dbg_v_addr[7]" tap_mode="classic"/>\r
209           <wire name="dbg_v_addr[8]" tap_mode="classic"/>\r
210           <wire name="dbg_v_addr[9]" tap_mode="classic"/>\r
211           <wire name="dbg_v_data[0]" tap_mode="classic"/>\r
212           <wire name="dbg_v_data[1]" tap_mode="classic"/>\r
213           <wire name="dbg_v_data[2]" tap_mode="classic"/>\r
214           <wire name="dbg_v_data[3]" tap_mode="classic"/>\r
215           <wire name="dbg_v_data[4]" tap_mode="classic"/>\r
216           <wire name="dbg_v_data[5]" tap_mode="classic"/>\r
217           <wire name="dbg_v_data[6]" tap_mode="classic"/>\r
218           <wire name="dbg_v_data[7]" tap_mode="classic"/>\r
219           <wire name="g[0]" tap_mode="classic"/>\r
220           <wire name="g[1]" tap_mode="classic"/>\r
221           <wire name="g[2]" tap_mode="classic"/>\r
222           <wire name="g[3]" tap_mode="classic"/>\r
223           <wire name="h_sync_n" tap_mode="classic"/>\r
224           <wire name="joypad1[0]" tap_mode="classic"/>\r
225           <wire name="joypad1[1]" tap_mode="classic"/>\r
226           <wire name="joypad1[2]" tap_mode="classic"/>\r
227           <wire name="joypad1[3]" tap_mode="classic"/>\r
228           <wire name="joypad1[4]" tap_mode="classic"/>\r
229           <wire name="joypad1[5]" tap_mode="classic"/>\r
230           <wire name="joypad1[6]" tap_mode="classic"/>\r
231           <wire name="joypad1[7]" tap_mode="classic"/>\r
232           <wire name="joypad2[0]" tap_mode="classic"/>\r
233           <wire name="joypad2[1]" tap_mode="classic"/>\r
234           <wire name="joypad2[2]" tap_mode="classic"/>\r
235           <wire name="joypad2[3]" tap_mode="classic"/>\r
236           <wire name="joypad2[4]" tap_mode="classic"/>\r
237           <wire name="joypad2[5]" tap_mode="classic"/>\r
238           <wire name="joypad2[6]" tap_mode="classic"/>\r
239           <wire name="joypad2[7]" tap_mode="classic"/>\r
240           <wire name="r[0]" tap_mode="classic"/>\r
241           <wire name="r[1]" tap_mode="classic"/>\r
242           <wire name="r[2]" tap_mode="classic"/>\r
243           <wire name="r[3]" tap_mode="classic"/>\r
244           <wire name="rst_n" tap_mode="classic"/>\r
245           <wire name="v_sync_n" tap_mode="classic"/>\r
246         </trigger_input_vec>\r
247         <data_input_vec>\r
248           <wire name="b[0]" tap_mode="classic"/>\r
249           <wire name="b[1]" tap_mode="classic"/>\r
250           <wire name="b[2]" tap_mode="classic"/>\r
251           <wire name="b[3]" tap_mode="classic"/>\r
252           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[0]" tap_mode="classic"/>\r
253           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[10]" tap_mode="classic"/>\r
254           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[11]" tap_mode="classic"/>\r
255           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[12]" tap_mode="classic"/>\r
256           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[13]" tap_mode="classic"/>\r
257           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[14]" tap_mode="classic"/>\r
258           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[15]" tap_mode="classic"/>\r
259           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[16]" tap_mode="classic"/>\r
260           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[17]" tap_mode="classic"/>\r
261           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[18]" tap_mode="classic"/>\r
262           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[19]" tap_mode="classic"/>\r
263           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[1]" tap_mode="classic"/>\r
264           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[20]" tap_mode="classic"/>\r
265           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[21]" tap_mode="classic"/>\r
266           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[22]" tap_mode="classic"/>\r
267           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[23]" tap_mode="classic"/>\r
268           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[24]" tap_mode="classic"/>\r
269           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[25]" tap_mode="classic"/>\r
270           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[26]" tap_mode="classic"/>\r
271           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[27]" tap_mode="classic"/>\r
272           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[28]" tap_mode="classic"/>\r
273           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[29]" tap_mode="classic"/>\r
274           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[2]" tap_mode="classic"/>\r
275           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[30]" tap_mode="classic"/>\r
276           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[31]" tap_mode="classic"/>\r
277           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[32]" tap_mode="classic"/>\r
278           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[33]" tap_mode="classic"/>\r
279           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[34]" tap_mode="classic"/>\r
280           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[35]" tap_mode="classic"/>\r
281           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[36]" tap_mode="classic"/>\r
282           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[37]" tap_mode="classic"/>\r
283           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[38]" tap_mode="classic"/>\r
284           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[39]" tap_mode="classic"/>\r
285           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[3]" tap_mode="classic"/>\r
286           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[40]" tap_mode="classic"/>\r
287           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[41]" tap_mode="classic"/>\r
288           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[42]" tap_mode="classic"/>\r
289           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[43]" tap_mode="classic"/>\r
290           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[44]" tap_mode="classic"/>\r
291           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[45]" tap_mode="classic"/>\r
292           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[46]" tap_mode="classic"/>\r
293           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[47]" tap_mode="classic"/>\r
294           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[48]" tap_mode="classic"/>\r
295           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[49]" tap_mode="classic"/>\r
296           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[4]" tap_mode="classic"/>\r
297           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[50]" tap_mode="classic"/>\r
298           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[51]" tap_mode="classic"/>\r
299           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[52]" tap_mode="classic"/>\r
300           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[53]" tap_mode="classic"/>\r
301           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[54]" tap_mode="classic"/>\r
302           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[55]" tap_mode="classic"/>\r
303           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[56]" tap_mode="classic"/>\r
304           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[57]" tap_mode="classic"/>\r
305           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[58]" tap_mode="classic"/>\r
306           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[59]" tap_mode="classic"/>\r
307           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[5]" tap_mode="classic"/>\r
308           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[60]" tap_mode="classic"/>\r
309           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[61]" tap_mode="classic"/>\r
310           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[62]" tap_mode="classic"/>\r
311           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[63]" tap_mode="classic"/>\r
312           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[6]" tap_mode="classic"/>\r
313           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[7]" tap_mode="classic"/>\r
314           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[8]" tap_mode="classic"/>\r
315           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[9]" tap_mode="classic"/>\r
316           <wire name="dbg_addr[0]" tap_mode="classic"/>\r
317           <wire name="dbg_addr[10]" tap_mode="classic"/>\r
318           <wire name="dbg_addr[11]" tap_mode="classic"/>\r
319           <wire name="dbg_addr[12]" tap_mode="classic"/>\r
320           <wire name="dbg_addr[13]" tap_mode="classic"/>\r
321           <wire name="dbg_addr[14]" tap_mode="classic"/>\r
322           <wire name="dbg_addr[15]" tap_mode="classic"/>\r
323           <wire name="dbg_addr[1]" tap_mode="classic"/>\r
324           <wire name="dbg_addr[2]" tap_mode="classic"/>\r
325           <wire name="dbg_addr[3]" tap_mode="classic"/>\r
326           <wire name="dbg_addr[4]" tap_mode="classic"/>\r
327           <wire name="dbg_addr[5]" tap_mode="classic"/>\r
328           <wire name="dbg_addr[6]" tap_mode="classic"/>\r
329           <wire name="dbg_addr[7]" tap_mode="classic"/>\r
330           <wire name="dbg_addr[8]" tap_mode="classic"/>\r
331           <wire name="dbg_addr[9]" tap_mode="classic"/>\r
332           <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
333           <wire name="dbg_cpu_mem_clk" tap_mode="classic"/>\r
334           <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
335           <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
336           <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
337           <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
338           <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
339           <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
340           <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
341           <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
342           <wire name="dbg_ea_carry" tap_mode="classic"/>\r
343           <wire name="dbg_emu_ppu_clk" tap_mode="classic"/>\r
344           <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
345           <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
346           <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
347           <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
348           <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
349           <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
350           <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
351           <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
352           <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
353           <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
354           <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
355           <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
356           <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
357           <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
358           <wire name="dbg_nmi" tap_mode="classic"/>\r
359           <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
360           <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
361           <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
362           <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
363           <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
364           <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
365           <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
366           <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
367           <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
368           <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
369           <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
370           <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
371           <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
372           <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
373           <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
374           <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
375           <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
376           <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
377           <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
378           <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
379           <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
380           <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
381           <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
382           <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
383           <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
384           <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
385           <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
386           <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
387           <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
388           <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
389           <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
390           <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
391           <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
392           <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
393           <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
394           <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
395           <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
396           <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
397           <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
398           <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
399           <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
400           <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
401           <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
402           <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
403           <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
404           <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
405           <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
406           <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
407           <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
408           <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
409           <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
410           <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
411           <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
412           <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
413           <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
414           <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
415           <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
416           <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
417           <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
418           <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
419           <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
420           <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
421           <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
422           <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
423           <wire name="dbg_r_nw" tap_mode="classic"/>\r
424           <wire name="dbg_status[0]" tap_mode="classic"/>\r
425           <wire name="dbg_status[1]" tap_mode="classic"/>\r
426           <wire name="dbg_status[2]" tap_mode="classic"/>\r
427           <wire name="dbg_status[3]" tap_mode="classic"/>\r
428           <wire name="dbg_status[4]" tap_mode="classic"/>\r
429           <wire name="dbg_status[5]" tap_mode="classic"/>\r
430           <wire name="dbg_status[6]" tap_mode="classic"/>\r
431           <wire name="dbg_status[7]" tap_mode="classic"/>\r
432           <wire name="dbg_v_addr[0]" tap_mode="classic"/>\r
433           <wire name="dbg_v_addr[10]" tap_mode="classic"/>\r
434           <wire name="dbg_v_addr[11]" tap_mode="classic"/>\r
435           <wire name="dbg_v_addr[12]" tap_mode="classic"/>\r
436           <wire name="dbg_v_addr[13]" tap_mode="classic"/>\r
437           <wire name="dbg_v_addr[1]" tap_mode="classic"/>\r
438           <wire name="dbg_v_addr[2]" tap_mode="classic"/>\r
439           <wire name="dbg_v_addr[3]" tap_mode="classic"/>\r
440           <wire name="dbg_v_addr[4]" tap_mode="classic"/>\r
441           <wire name="dbg_v_addr[5]" tap_mode="classic"/>\r
442           <wire name="dbg_v_addr[6]" tap_mode="classic"/>\r
443           <wire name="dbg_v_addr[7]" tap_mode="classic"/>\r
444           <wire name="dbg_v_addr[8]" tap_mode="classic"/>\r
445           <wire name="dbg_v_addr[9]" tap_mode="classic"/>\r
446           <wire name="dbg_v_data[0]" tap_mode="classic"/>\r
447           <wire name="dbg_v_data[1]" tap_mode="classic"/>\r
448           <wire name="dbg_v_data[2]" tap_mode="classic"/>\r
449           <wire name="dbg_v_data[3]" tap_mode="classic"/>\r
450           <wire name="dbg_v_data[4]" tap_mode="classic"/>\r
451           <wire name="dbg_v_data[5]" tap_mode="classic"/>\r
452           <wire name="dbg_v_data[6]" tap_mode="classic"/>\r
453           <wire name="dbg_v_data[7]" tap_mode="classic"/>\r
454           <wire name="g[0]" tap_mode="classic"/>\r
455           <wire name="g[1]" tap_mode="classic"/>\r
456           <wire name="g[2]" tap_mode="classic"/>\r
457           <wire name="g[3]" tap_mode="classic"/>\r
458           <wire name="h_sync_n" tap_mode="classic"/>\r
459           <wire name="joypad1[0]" tap_mode="classic"/>\r
460           <wire name="joypad1[1]" tap_mode="classic"/>\r
461           <wire name="joypad1[2]" tap_mode="classic"/>\r
462           <wire name="joypad1[3]" tap_mode="classic"/>\r
463           <wire name="joypad1[4]" tap_mode="classic"/>\r
464           <wire name="joypad1[5]" tap_mode="classic"/>\r
465           <wire name="joypad1[6]" tap_mode="classic"/>\r
466           <wire name="joypad1[7]" tap_mode="classic"/>\r
467           <wire name="joypad2[0]" tap_mode="classic"/>\r
468           <wire name="joypad2[1]" tap_mode="classic"/>\r
469           <wire name="joypad2[2]" tap_mode="classic"/>\r
470           <wire name="joypad2[3]" tap_mode="classic"/>\r
471           <wire name="joypad2[4]" tap_mode="classic"/>\r
472           <wire name="joypad2[5]" tap_mode="classic"/>\r
473           <wire name="joypad2[6]" tap_mode="classic"/>\r
474           <wire name="joypad2[7]" tap_mode="classic"/>\r
475           <wire name="r[0]" tap_mode="classic"/>\r
476           <wire name="r[1]" tap_mode="classic"/>\r
477           <wire name="r[2]" tap_mode="classic"/>\r
478           <wire name="r[3]" tap_mode="classic"/>\r
479           <wire name="rst_n" tap_mode="classic"/>\r
480           <wire name="v_sync_n" tap_mode="classic"/>\r
481         </data_input_vec>\r
482         <storage_qualifier_input_vec>\r
483           <wire name="b[0]" tap_mode="classic"/>\r
484           <wire name="b[1]" tap_mode="classic"/>\r
485           <wire name="b[2]" tap_mode="classic"/>\r
486           <wire name="b[3]" tap_mode="classic"/>\r
487           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[0]" tap_mode="classic"/>\r
488           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[10]" tap_mode="classic"/>\r
489           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[11]" tap_mode="classic"/>\r
490           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[12]" tap_mode="classic"/>\r
491           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[13]" tap_mode="classic"/>\r
492           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[14]" tap_mode="classic"/>\r
493           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[15]" tap_mode="classic"/>\r
494           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[16]" tap_mode="classic"/>\r
495           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[17]" tap_mode="classic"/>\r
496           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[18]" tap_mode="classic"/>\r
497           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[19]" tap_mode="classic"/>\r
498           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[1]" tap_mode="classic"/>\r
499           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[20]" tap_mode="classic"/>\r
500           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[21]" tap_mode="classic"/>\r
501           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[22]" tap_mode="classic"/>\r
502           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[23]" tap_mode="classic"/>\r
503           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[24]" tap_mode="classic"/>\r
504           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[25]" tap_mode="classic"/>\r
505           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[26]" tap_mode="classic"/>\r
506           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[27]" tap_mode="classic"/>\r
507           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[28]" tap_mode="classic"/>\r
508           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[29]" tap_mode="classic"/>\r
509           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[2]" tap_mode="classic"/>\r
510           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[30]" tap_mode="classic"/>\r
511           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[31]" tap_mode="classic"/>\r
512           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[32]" tap_mode="classic"/>\r
513           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[33]" tap_mode="classic"/>\r
514           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[34]" tap_mode="classic"/>\r
515           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[35]" tap_mode="classic"/>\r
516           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[36]" tap_mode="classic"/>\r
517           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[37]" tap_mode="classic"/>\r
518           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[38]" tap_mode="classic"/>\r
519           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[39]" tap_mode="classic"/>\r
520           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[3]" tap_mode="classic"/>\r
521           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[40]" tap_mode="classic"/>\r
522           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[41]" tap_mode="classic"/>\r
523           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[42]" tap_mode="classic"/>\r
524           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[43]" tap_mode="classic"/>\r
525           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[44]" tap_mode="classic"/>\r
526           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[45]" tap_mode="classic"/>\r
527           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[46]" tap_mode="classic"/>\r
528           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[47]" tap_mode="classic"/>\r
529           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[48]" tap_mode="classic"/>\r
530           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[49]" tap_mode="classic"/>\r
531           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[4]" tap_mode="classic"/>\r
532           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[50]" tap_mode="classic"/>\r
533           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[51]" tap_mode="classic"/>\r
534           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[52]" tap_mode="classic"/>\r
535           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[53]" tap_mode="classic"/>\r
536           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[54]" tap_mode="classic"/>\r
537           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[55]" tap_mode="classic"/>\r
538           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[56]" tap_mode="classic"/>\r
539           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[57]" tap_mode="classic"/>\r
540           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[58]" tap_mode="classic"/>\r
541           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[59]" tap_mode="classic"/>\r
542           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[5]" tap_mode="classic"/>\r
543           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[60]" tap_mode="classic"/>\r
544           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[61]" tap_mode="classic"/>\r
545           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[62]" tap_mode="classic"/>\r
546           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[63]" tap_mode="classic"/>\r
547           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[6]" tap_mode="classic"/>\r
548           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[7]" tap_mode="classic"/>\r
549           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[8]" tap_mode="classic"/>\r
550           <wire name="counter_register:clock_counter_inst|\clk_p:q_out[9]" tap_mode="classic"/>\r
551           <wire name="dbg_addr[0]" tap_mode="classic"/>\r
552           <wire name="dbg_addr[10]" tap_mode="classic"/>\r
553           <wire name="dbg_addr[11]" tap_mode="classic"/>\r
554           <wire name="dbg_addr[12]" tap_mode="classic"/>\r
555           <wire name="dbg_addr[13]" tap_mode="classic"/>\r
556           <wire name="dbg_addr[14]" tap_mode="classic"/>\r
557           <wire name="dbg_addr[15]" tap_mode="classic"/>\r
558           <wire name="dbg_addr[1]" tap_mode="classic"/>\r
559           <wire name="dbg_addr[2]" tap_mode="classic"/>\r
560           <wire name="dbg_addr[3]" tap_mode="classic"/>\r
561           <wire name="dbg_addr[4]" tap_mode="classic"/>\r
562           <wire name="dbg_addr[5]" tap_mode="classic"/>\r
563           <wire name="dbg_addr[6]" tap_mode="classic"/>\r
564           <wire name="dbg_addr[7]" tap_mode="classic"/>\r
565           <wire name="dbg_addr[8]" tap_mode="classic"/>\r
566           <wire name="dbg_addr[9]" tap_mode="classic"/>\r
567           <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
568           <wire name="dbg_cpu_mem_clk" tap_mode="classic"/>\r
569           <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
570           <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
571           <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
572           <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
573           <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
574           <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
575           <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
576           <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
577           <wire name="dbg_ea_carry" tap_mode="classic"/>\r
578           <wire name="dbg_emu_ppu_clk" tap_mode="classic"/>\r
579           <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
580           <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
581           <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
582           <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
583           <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
584           <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
585           <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
586           <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
587           <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
588           <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
589           <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
590           <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
591           <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
592           <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
593           <wire name="dbg_nmi" tap_mode="classic"/>\r
594           <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
595           <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
596           <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
597           <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
598           <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
599           <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
600           <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
601           <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
602           <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
603           <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
604           <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
605           <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
606           <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
607           <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
608           <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
609           <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
610           <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
611           <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
612           <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
613           <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
614           <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
615           <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
616           <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
617           <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
618           <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
619           <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
620           <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
621           <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
622           <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
623           <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
624           <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
625           <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
626           <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
627           <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
628           <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
629           <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
630           <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
631           <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
632           <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
633           <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
634           <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
635           <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
636           <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
637           <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
638           <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
639           <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
640           <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
641           <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
642           <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
643           <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
644           <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
645           <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
646           <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
647           <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
648           <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
649           <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
650           <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
651           <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
652           <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
653           <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
654           <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
655           <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
656           <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
657           <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
658           <wire name="dbg_r_nw" tap_mode="classic"/>\r
659           <wire name="dbg_status[0]" tap_mode="classic"/>\r
660           <wire name="dbg_status[1]" tap_mode="classic"/>\r
661           <wire name="dbg_status[2]" tap_mode="classic"/>\r
662           <wire name="dbg_status[3]" tap_mode="classic"/>\r
663           <wire name="dbg_status[4]" tap_mode="classic"/>\r
664           <wire name="dbg_status[5]" tap_mode="classic"/>\r
665           <wire name="dbg_status[6]" tap_mode="classic"/>\r
666           <wire name="dbg_status[7]" tap_mode="classic"/>\r
667           <wire name="dbg_v_addr[0]" tap_mode="classic"/>\r
668           <wire name="dbg_v_addr[10]" tap_mode="classic"/>\r
669           <wire name="dbg_v_addr[11]" tap_mode="classic"/>\r
670           <wire name="dbg_v_addr[12]" tap_mode="classic"/>\r
671           <wire name="dbg_v_addr[13]" tap_mode="classic"/>\r
672           <wire name="dbg_v_addr[1]" tap_mode="classic"/>\r
673           <wire name="dbg_v_addr[2]" tap_mode="classic"/>\r
674           <wire name="dbg_v_addr[3]" tap_mode="classic"/>\r
675           <wire name="dbg_v_addr[4]" tap_mode="classic"/>\r
676           <wire name="dbg_v_addr[5]" tap_mode="classic"/>\r
677           <wire name="dbg_v_addr[6]" tap_mode="classic"/>\r
678           <wire name="dbg_v_addr[7]" tap_mode="classic"/>\r
679           <wire name="dbg_v_addr[8]" tap_mode="classic"/>\r
680           <wire name="dbg_v_addr[9]" tap_mode="classic"/>\r
681           <wire name="dbg_v_data[0]" tap_mode="classic"/>\r
682           <wire name="dbg_v_data[1]" tap_mode="classic"/>\r
683           <wire name="dbg_v_data[2]" tap_mode="classic"/>\r
684           <wire name="dbg_v_data[3]" tap_mode="classic"/>\r
685           <wire name="dbg_v_data[4]" tap_mode="classic"/>\r
686           <wire name="dbg_v_data[5]" tap_mode="classic"/>\r
687           <wire name="dbg_v_data[6]" tap_mode="classic"/>\r
688           <wire name="dbg_v_data[7]" tap_mode="classic"/>\r
689           <wire name="g[0]" tap_mode="classic"/>\r
690           <wire name="g[1]" tap_mode="classic"/>\r
691           <wire name="g[2]" tap_mode="classic"/>\r
692           <wire name="g[3]" tap_mode="classic"/>\r
693           <wire name="h_sync_n" tap_mode="classic"/>\r
694           <wire name="joypad1[0]" tap_mode="classic"/>\r
695           <wire name="joypad1[1]" tap_mode="classic"/>\r
696           <wire name="joypad1[2]" tap_mode="classic"/>\r
697           <wire name="joypad1[3]" tap_mode="classic"/>\r
698           <wire name="joypad1[4]" tap_mode="classic"/>\r
699           <wire name="joypad1[5]" tap_mode="classic"/>\r
700           <wire name="joypad1[6]" tap_mode="classic"/>\r
701           <wire name="joypad1[7]" tap_mode="classic"/>\r
702           <wire name="joypad2[0]" tap_mode="classic"/>\r
703           <wire name="joypad2[1]" tap_mode="classic"/>\r
704           <wire name="joypad2[2]" tap_mode="classic"/>\r
705           <wire name="joypad2[3]" tap_mode="classic"/>\r
706           <wire name="joypad2[4]" tap_mode="classic"/>\r
707           <wire name="joypad2[5]" tap_mode="classic"/>\r
708           <wire name="joypad2[6]" tap_mode="classic"/>\r
709           <wire name="joypad2[7]" tap_mode="classic"/>\r
710           <wire name="r[0]" tap_mode="classic"/>\r
711           <wire name="r[1]" tap_mode="classic"/>\r
712           <wire name="r[2]" tap_mode="classic"/>\r
713           <wire name="r[3]" tap_mode="classic"/>\r
714           <wire name="rst_n" tap_mode="classic"/>\r
715           <wire name="v_sync_n" tap_mode="classic"/>\r
716         </storage_qualifier_input_vec>\r
717       </signal_vec>\r
718       <presentation>\r
719         <unified_setup_data_view>\r
720           <node name="clock_counter" order="lsb_to_msb" type="register">\r
721             <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
722             <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
723             <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
724             <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
725             <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
726             <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
727             <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
728             <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
729             <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
730             <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
731             <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
732             <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
733             <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
734             <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
735             <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
736             <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
737             <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
738             <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
739             <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
740             <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
741             <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
742             <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
743             <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
744             <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
745             <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
746             <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
747             <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
748             <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
749             <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
750             <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
751             <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
752             <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
753             <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
754             <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
755             <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
756             <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
757             <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
758             <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
759             <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
760             <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
761             <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
762             <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
763             <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
764             <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
765             <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
766             <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
767             <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
768             <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
769             <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
770             <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
771             <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
772             <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
773             <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
774             <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
775             <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
776             <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
777             <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
778             <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
779             <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
780             <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
781             <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
782             <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
783             <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
784             <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
785           </node>\r
786           <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="output pin"/>\r
787           <node data_index="231" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="input pin"/>\r
788           <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
789           <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_mem_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="output pin"/>\r
790           <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="output pin"/>\r
791           <node name="dbg_addr" order="msb_to_lsb" type="output pin">\r
792             <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="output pin"/>\r
793             <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="output pin"/>\r
794             <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="output pin"/>\r
795             <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="output pin"/>\r
796             <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="output pin"/>\r
797             <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="output pin"/>\r
798             <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="output pin"/>\r
799             <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="output pin"/>\r
800             <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="output pin"/>\r
801             <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="output pin"/>\r
802             <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="output pin"/>\r
803             <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="output pin"/>\r
804             <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="output pin"/>\r
805             <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="output pin"/>\r
806             <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="output pin"/>\r
807             <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="output pin"/>\r
808           </node>\r
809           <node name="dbg_d_io" order="msb_to_lsb" type="output pin">\r
810             <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="output pin"/>\r
811             <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="output pin"/>\r
812             <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="output pin"/>\r
813             <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="output pin"/>\r
814             <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="output pin"/>\r
815             <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="output pin"/>\r
816             <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="output pin"/>\r
817             <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="output pin"/>\r
818           </node>\r
819           <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="output pin"/>\r
820           <node name="dbg_exec_cycle" order="msb_to_lsb" type="output pin">\r
821             <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="output pin"/>\r
822             <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="output pin"/>\r
823             <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="output pin"/>\r
824             <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="output pin"/>\r
825             <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="output pin"/>\r
826             <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="output pin"/>\r
827           </node>\r
828           <node name="dbg_instruction" order="msb_to_lsb" type="output pin">\r
829             <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="output pin"/>\r
830             <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="output pin"/>\r
831             <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="output pin"/>\r
832             <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="output pin"/>\r
833             <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="output pin"/>\r
834             <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="output pin"/>\r
835             <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="output pin"/>\r
836             <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="output pin"/>\r
837           </node>\r
838           <node name="dbg_status" order="msb_to_lsb" type="output pin">\r
839             <node data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
840             <node data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
841             <node data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
842             <node data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
843             <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
844             <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
845             <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
846             <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
847           </node>\r
848           <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="output pin"/>\r
849           <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="output pin"/>\r
850           <node name="dbg_ppu_addr" order="msb_to_lsb" type="output pin">\r
851             <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="output pin"/>\r
852             <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="output pin"/>\r
853             <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="output pin"/>\r
854             <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="output pin"/>\r
855             <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="output pin"/>\r
856             <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="output pin"/>\r
857             <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="output pin"/>\r
858             <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="output pin"/>\r
859             <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="output pin"/>\r
860             <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="output pin"/>\r
861             <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="output pin"/>\r
862             <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="output pin"/>\r
863             <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="output pin"/>\r
864             <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="output pin"/>\r
865           </node>\r
866           <node name="dbg_ppu_ctrl" order="msb_to_lsb" type="output pin">\r
867             <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="output pin"/>\r
868             <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="output pin"/>\r
869             <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="output pin"/>\r
870             <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="output pin"/>\r
871             <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="output pin"/>\r
872             <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="output pin"/>\r
873             <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="output pin"/>\r
874             <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="output pin"/>\r
875           </node>\r
876           <node name="dbg_ppu_data" order="msb_to_lsb" type="output pin">\r
877             <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
878             <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
879             <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
880             <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
881             <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
882             <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
883             <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="output pin"/>\r
884             <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="output pin"/>\r
885           </node>\r
886           <node name="dbg_ppu_mask" order="msb_to_lsb" type="output pin">\r
887             <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
888             <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
889             <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
890             <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
891             <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
892             <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
893             <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
894             <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
895           </node>\r
896           <node name="dbg_ppu_scrl_x" order="msb_to_lsb" type="output pin">\r
897             <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
898             <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
899             <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
900             <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
901             <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
902             <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
903             <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
904             <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
905           </node>\r
906           <node name="dbg_ppu_scrl_y" order="msb_to_lsb" type="output pin">\r
907             <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
908             <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
909             <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
910             <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
911             <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
912             <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
913             <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
914             <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
915           </node>\r
916           <node name="dbg_ppu_status" order="msb_to_lsb" type="output pin">\r
917             <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
918             <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
919             <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
920             <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
921             <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
922             <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
923             <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
924             <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
925           </node>\r
926           <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_emu_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="output pin"/>\r
927           <node name="dbg_v_addr" order="msb_to_lsb" type="output pin">\r
928             <node data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
929             <node data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
930             <node data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
931             <node data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
932             <node data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
933             <node data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
934             <node data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
935             <node data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
936             <node data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
937             <node data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
938             <node data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
939             <node data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
940             <node data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
941             <node data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
942           </node>\r
943           <node name="dbg_v_data" order="msb_to_lsb" type="output pin">\r
944             <node data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="output pin"/>\r
945             <node data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="output pin"/>\r
946             <node data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="output pin"/>\r
947             <node data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="output pin"/>\r
948             <node data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="output pin"/>\r
949             <node data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
950             <node data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
951             <node data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
952           </node>\r
953           <node name="r" order="msb_to_lsb" type="output pin">\r
954             <node data_index="230" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="output pin"/>\r
955             <node data_index="229" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="output pin"/>\r
956             <node data_index="228" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="output pin"/>\r
957             <node data_index="227" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="output pin"/>\r
958           </node>\r
959           <node name="g" order="msb_to_lsb" type="output pin">\r
960             <node data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="output pin"/>\r
961             <node data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="output pin"/>\r
962             <node data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="output pin"/>\r
963             <node data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="output pin"/>\r
964           </node>\r
965           <node name="b" order="msb_to_lsb" type="output pin">\r
966             <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
967             <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
968             <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
969             <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
970           </node>\r
971           <node data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="output pin"/>\r
972           <node data_index="232" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="output pin"/>\r
973           <node name="joypad1" order="msb_to_lsb" type="input pin">\r
974             <node data_index="218" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="input pin"/>\r
975             <node data_index="217" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="input pin"/>\r
976             <node data_index="216" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="input pin"/>\r
977             <node data_index="215" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="input pin"/>\r
978             <node data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="input pin"/>\r
979             <node data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="input pin"/>\r
980             <node data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="input pin"/>\r
981             <node data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="input pin"/>\r
982           </node>\r
983           <node name="joypad2" order="msb_to_lsb" type="input pin">\r
984             <node data_index="226" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="input pin"/>\r
985             <node data_index="225" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="input pin"/>\r
986             <node data_index="224" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="input pin"/>\r
987             <node data_index="223" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="input pin"/>\r
988             <node data_index="222" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="input pin"/>\r
989             <node data_index="221" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="input pin"/>\r
990             <node data_index="220" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="input pin"/>\r
991             <node data_index="219" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="input pin"/>\r
992           </node>\r
993         </unified_setup_data_view>\r
994         <data_view>\r
995           <bus name="clock_counter" order="lsb_to_msb" type="register">\r
996             <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
997             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
998             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
999             <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
1000             <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
1001             <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
1002             <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
1003             <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
1004             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
1005             <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
1006             <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
1007             <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
1008             <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
1009             <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
1010             <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
1011             <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
1012             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
1013             <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
1014             <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
1015             <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
1016             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
1017             <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
1018             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
1019             <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
1020             <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
1021             <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
1022             <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
1023             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
1024             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
1025             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
1026             <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
1027             <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
1028             <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
1029             <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
1030             <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
1031             <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
1032             <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
1033             <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
1034             <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
1035             <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
1036             <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
1037             <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
1038             <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
1039             <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
1040             <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
1041             <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
1042             <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
1043             <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
1044             <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
1045             <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
1046             <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
1047             <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
1048             <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
1049             <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
1050             <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
1051             <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
1052             <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
1053             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
1054             <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
1055             <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
1056             <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
1057             <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
1058             <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
1059             <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
1060           </bus>\r
1061           <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="output pin"/>\r
1062           <net data_index="231" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="input pin"/>\r
1063           <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
1064           <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_mem_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="output pin"/>\r
1065           <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="output pin"/>\r
1066           <bus name="dbg_addr" order="msb_to_lsb" type="output pin">\r
1067             <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="output pin"/>\r
1068             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="output pin"/>\r
1069             <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="output pin"/>\r
1070             <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="output pin"/>\r
1071             <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="output pin"/>\r
1072             <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="output pin"/>\r
1073             <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="output pin"/>\r
1074             <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="output pin"/>\r
1075             <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="output pin"/>\r
1076             <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="output pin"/>\r
1077             <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="output pin"/>\r
1078             <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="output pin"/>\r
1079             <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="output pin"/>\r
1080             <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="output pin"/>\r
1081             <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="output pin"/>\r
1082             <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="output pin"/>\r
1083           </bus>\r
1084           <bus name="dbg_d_io" order="msb_to_lsb" type="output pin">\r
1085             <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="output pin"/>\r
1086             <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="output pin"/>\r
1087             <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="output pin"/>\r
1088             <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="output pin"/>\r
1089             <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="output pin"/>\r
1090             <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="output pin"/>\r
1091             <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="output pin"/>\r
1092             <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="output pin"/>\r
1093           </bus>\r
1094           <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="output pin"/>\r
1095           <bus name="dbg_exec_cycle" order="msb_to_lsb" type="output pin">\r
1096             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="output pin"/>\r
1097             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="output pin"/>\r
1098             <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="output pin"/>\r
1099             <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="output pin"/>\r
1100             <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="output pin"/>\r
1101             <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="output pin"/>\r
1102           </bus>\r
1103           <bus name="dbg_instruction" order="msb_to_lsb" type="output pin">\r
1104             <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="output pin"/>\r
1105             <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="output pin"/>\r
1106             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="output pin"/>\r
1107             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="output pin"/>\r
1108             <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="output pin"/>\r
1109             <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="output pin"/>\r
1110             <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="output pin"/>\r
1111             <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="output pin"/>\r
1112           </bus>\r
1113           <bus name="dbg_status" order="msb_to_lsb" type="output pin">\r
1114             <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
1115             <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
1116             <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
1117             <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
1118             <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
1119             <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
1120             <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
1121             <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
1122           </bus>\r
1123           <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="output pin"/>\r
1124           <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="output pin"/>\r
1125           <bus name="dbg_ppu_addr" order="msb_to_lsb" type="output pin">\r
1126             <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="output pin"/>\r
1127             <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="output pin"/>\r
1128             <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="output pin"/>\r
1129             <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="output pin"/>\r
1130             <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="output pin"/>\r
1131             <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="output pin"/>\r
1132             <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="output pin"/>\r
1133             <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="output pin"/>\r
1134             <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="output pin"/>\r
1135             <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="output pin"/>\r
1136             <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="output pin"/>\r
1137             <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="output pin"/>\r
1138             <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="output pin"/>\r
1139             <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="output pin"/>\r
1140           </bus>\r
1141           <bus name="dbg_ppu_ctrl" order="msb_to_lsb" type="output pin">\r
1142             <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="output pin"/>\r
1143             <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="output pin"/>\r
1144             <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="output pin"/>\r
1145             <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="output pin"/>\r
1146             <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="output pin"/>\r
1147             <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="output pin"/>\r
1148             <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="output pin"/>\r
1149             <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="output pin"/>\r
1150           </bus>\r
1151           <bus name="dbg_ppu_data" order="msb_to_lsb" type="output pin">\r
1152             <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
1153             <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
1154             <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
1155             <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
1156             <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
1157             <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
1158             <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="output pin"/>\r
1159             <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="output pin"/>\r
1160           </bus>\r
1161           <bus name="dbg_ppu_mask" order="msb_to_lsb" type="output pin">\r
1162             <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
1163             <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
1164             <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
1165             <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
1166             <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
1167             <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
1168             <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
1169             <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
1170           </bus>\r
1171           <bus name="dbg_ppu_scrl_x" order="msb_to_lsb" type="output pin">\r
1172             <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
1173             <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
1174             <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
1175             <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
1176             <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
1177             <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
1178             <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
1179             <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
1180           </bus>\r
1181           <bus name="dbg_ppu_scrl_y" order="msb_to_lsb" type="output pin">\r
1182             <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
1183             <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
1184             <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
1185             <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
1186             <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
1187             <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
1188             <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
1189             <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
1190           </bus>\r
1191           <bus name="dbg_ppu_status" order="msb_to_lsb" type="output pin">\r
1192             <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
1193             <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
1194             <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
1195             <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
1196             <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
1197             <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
1198             <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
1199             <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
1200           </bus>\r
1201           <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_emu_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="output pin"/>\r
1202           <bus name="dbg_v_addr" order="msb_to_lsb" type="output pin">\r
1203             <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
1204             <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
1205             <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
1206             <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
1207             <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
1208             <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
1209             <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
1210             <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
1211             <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
1212             <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
1213             <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
1214             <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
1215             <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
1216             <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
1217           </bus>\r
1218           <bus name="dbg_v_data" order="msb_to_lsb" type="output pin">\r
1219             <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="output pin"/>\r
1220             <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="output pin"/>\r
1221             <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="output pin"/>\r
1222             <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="output pin"/>\r
1223             <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="output pin"/>\r
1224             <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
1225             <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
1226             <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
1227           </bus>\r
1228           <bus name="r" order="msb_to_lsb" type="output pin">\r
1229             <net data_index="230" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="output pin"/>\r
1230             <net data_index="229" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="output pin"/>\r
1231             <net data_index="228" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="output pin"/>\r
1232             <net data_index="227" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="output pin"/>\r
1233           </bus>\r
1234           <bus name="g" order="msb_to_lsb" type="output pin">\r
1235             <net data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="output pin"/>\r
1236             <net data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="output pin"/>\r
1237             <net data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="output pin"/>\r
1238             <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="output pin"/>\r
1239           </bus>\r
1240           <bus name="b" order="msb_to_lsb" type="output pin">\r
1241             <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
1242             <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
1243             <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
1244             <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
1245           </bus>\r
1246           <net data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="output pin"/>\r
1247           <net data_index="232" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="output pin"/>\r
1248           <bus name="joypad1" order="msb_to_lsb" type="input pin">\r
1249             <net data_index="218" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="input pin"/>\r
1250             <net data_index="217" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="input pin"/>\r
1251             <net data_index="216" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="input pin"/>\r
1252             <net data_index="215" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="input pin"/>\r
1253             <net data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="input pin"/>\r
1254             <net data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="input pin"/>\r
1255             <net data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="input pin"/>\r
1256             <net data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="input pin"/>\r
1257           </bus>\r
1258           <bus name="joypad2" order="msb_to_lsb" type="input pin">\r
1259             <net data_index="226" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="input pin"/>\r
1260             <net data_index="225" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="input pin"/>\r
1261             <net data_index="224" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="input pin"/>\r
1262             <net data_index="223" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="input pin"/>\r
1263             <net data_index="222" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="input pin"/>\r
1264             <net data_index="221" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="input pin"/>\r
1265             <net data_index="220" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="input pin"/>\r
1266             <net data_index="219" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="input pin"/>\r
1267           </bus>\r
1268         </data_view>\r
1269         <setup_view>\r
1270           <bus name="clock_counter" order="lsb_to_msb" type="register">\r
1271             <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
1272             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
1273             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
1274             <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
1275             <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
1276             <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
1277             <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
1278             <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
1279             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
1280             <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
1281             <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
1282             <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
1283             <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
1284             <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
1285             <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
1286             <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
1287             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
1288             <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
1289             <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
1290             <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
1291             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
1292             <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
1293             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
1294             <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
1295             <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
1296             <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
1297             <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
1298             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
1299             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
1300             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
1301             <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
1302             <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
1303             <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
1304             <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
1305             <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
1306             <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
1307             <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
1308             <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
1309             <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
1310             <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
1311             <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
1312             <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
1313             <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
1314             <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
1315             <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
1316             <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
1317             <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
1318             <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
1319             <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
1320             <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
1321             <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
1322             <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
1323             <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
1324             <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
1325             <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
1326             <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
1327             <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
1328             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
1329             <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
1330             <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
1331             <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
1332             <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
1333             <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
1334             <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
1335           </bus>\r
1336           <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="output pin"/>\r
1337           <net data_index="231" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="input pin"/>\r
1338           <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
1339           <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_mem_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="output pin"/>\r
1340           <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="output pin"/>\r
1341           <bus name="dbg_addr" order="msb_to_lsb" type="output pin">\r
1342             <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="output pin"/>\r
1343             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="output pin"/>\r
1344             <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="output pin"/>\r
1345             <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="output pin"/>\r
1346             <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="output pin"/>\r
1347             <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="output pin"/>\r
1348             <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="output pin"/>\r
1349             <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="output pin"/>\r
1350             <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="output pin"/>\r
1351             <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="output pin"/>\r
1352             <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="output pin"/>\r
1353             <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="output pin"/>\r
1354             <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="output pin"/>\r
1355             <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="output pin"/>\r
1356             <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="output pin"/>\r
1357             <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="output pin"/>\r
1358           </bus>\r
1359           <bus name="dbg_d_io" order="msb_to_lsb" type="output pin">\r
1360             <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="output pin"/>\r
1361             <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="output pin"/>\r
1362             <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="output pin"/>\r
1363             <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="output pin"/>\r
1364             <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="output pin"/>\r
1365             <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="output pin"/>\r
1366             <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="output pin"/>\r
1367             <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="output pin"/>\r
1368           </bus>\r
1369           <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="output pin"/>\r
1370           <bus name="dbg_exec_cycle" order="msb_to_lsb" type="output pin">\r
1371             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="output pin"/>\r
1372             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="output pin"/>\r
1373             <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="output pin"/>\r
1374             <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="output pin"/>\r
1375             <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="output pin"/>\r
1376             <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="output pin"/>\r
1377           </bus>\r
1378           <bus name="dbg_instruction" order="msb_to_lsb" type="output pin">\r
1379             <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="output pin"/>\r
1380             <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="output pin"/>\r
1381             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="output pin"/>\r
1382             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="output pin"/>\r
1383             <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="output pin"/>\r
1384             <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="output pin"/>\r
1385             <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="output pin"/>\r
1386             <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="output pin"/>\r
1387           </bus>\r
1388           <bus name="dbg_status" order="msb_to_lsb" type="output pin">\r
1389             <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
1390             <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
1391             <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
1392             <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
1393             <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
1394             <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
1395             <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
1396             <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
1397           </bus>\r
1398           <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="output pin"/>\r
1399           <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="output pin"/>\r
1400           <bus name="dbg_ppu_addr" order="msb_to_lsb" type="output pin">\r
1401             <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="output pin"/>\r
1402             <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="output pin"/>\r
1403             <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="output pin"/>\r
1404             <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="output pin"/>\r
1405             <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="output pin"/>\r
1406             <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="output pin"/>\r
1407             <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="output pin"/>\r
1408             <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="output pin"/>\r
1409             <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="output pin"/>\r
1410             <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="output pin"/>\r
1411             <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="output pin"/>\r
1412             <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="output pin"/>\r
1413             <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="output pin"/>\r
1414             <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="output pin"/>\r
1415           </bus>\r
1416           <bus name="dbg_ppu_ctrl" order="msb_to_lsb" type="output pin">\r
1417             <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="output pin"/>\r
1418             <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="output pin"/>\r
1419             <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="output pin"/>\r
1420             <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="output pin"/>\r
1421             <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="output pin"/>\r
1422             <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="output pin"/>\r
1423             <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="output pin"/>\r
1424             <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="output pin"/>\r
1425           </bus>\r
1426           <bus name="dbg_ppu_data" order="msb_to_lsb" type="output pin">\r
1427             <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
1428             <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
1429             <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
1430             <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
1431             <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
1432             <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
1433             <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="output pin"/>\r
1434             <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="output pin"/>\r
1435           </bus>\r
1436           <bus name="dbg_ppu_mask" order="msb_to_lsb" type="output pin">\r
1437             <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
1438             <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
1439             <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
1440             <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
1441             <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
1442             <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
1443             <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
1444             <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
1445           </bus>\r
1446           <bus name="dbg_ppu_scrl_x" order="msb_to_lsb" type="output pin">\r
1447             <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
1448             <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
1449             <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
1450             <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
1451             <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
1452             <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
1453             <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
1454             <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
1455           </bus>\r
1456           <bus name="dbg_ppu_scrl_y" order="msb_to_lsb" type="output pin">\r
1457             <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
1458             <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
1459             <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
1460             <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
1461             <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
1462             <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
1463             <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
1464             <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
1465           </bus>\r
1466           <bus name="dbg_ppu_status" order="msb_to_lsb" type="output pin">\r
1467             <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
1468             <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
1469             <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
1470             <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
1471             <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
1472             <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
1473             <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
1474             <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
1475           </bus>\r
1476           <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_emu_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="output pin"/>\r
1477           <bus name="dbg_v_addr" order="msb_to_lsb" type="output pin">\r
1478             <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
1479             <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
1480             <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
1481             <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
1482             <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
1483             <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
1484             <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
1485             <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
1486             <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
1487             <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
1488             <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
1489             <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
1490             <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
1491             <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
1492           </bus>\r
1493           <bus name="dbg_v_data" order="msb_to_lsb" type="output pin">\r
1494             <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="output pin"/>\r
1495             <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="output pin"/>\r
1496             <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="output pin"/>\r
1497             <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="output pin"/>\r
1498             <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="output pin"/>\r
1499             <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
1500             <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
1501             <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
1502           </bus>\r
1503           <bus name="r" order="msb_to_lsb" type="output pin">\r
1504             <net data_index="230" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="output pin"/>\r
1505             <net data_index="229" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="output pin"/>\r
1506             <net data_index="228" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="output pin"/>\r
1507             <net data_index="227" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="output pin"/>\r
1508           </bus>\r
1509           <bus name="g" order="msb_to_lsb" type="output pin">\r
1510             <net data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="output pin"/>\r
1511             <net data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="output pin"/>\r
1512             <net data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="output pin"/>\r
1513             <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="output pin"/>\r
1514           </bus>\r
1515           <bus name="b" order="msb_to_lsb" type="output pin">\r
1516             <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
1517             <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
1518             <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
1519             <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
1520           </bus>\r
1521           <net data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="output pin"/>\r
1522           <net data_index="232" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="output pin"/>\r
1523           <bus name="joypad1" order="msb_to_lsb" type="input pin">\r
1524             <net data_index="218" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="input pin"/>\r
1525             <net data_index="217" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="input pin"/>\r
1526             <net data_index="216" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="input pin"/>\r
1527             <net data_index="215" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="input pin"/>\r
1528             <net data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="input pin"/>\r
1529             <net data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="input pin"/>\r
1530             <net data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="input pin"/>\r
1531             <net data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="input pin"/>\r
1532           </bus>\r
1533           <bus name="joypad2" order="msb_to_lsb" type="input pin">\r
1534             <net data_index="226" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="input pin"/>\r
1535             <net data_index="225" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="input pin"/>\r
1536             <net data_index="224" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="input pin"/>\r
1537             <net data_index="223" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="input pin"/>\r
1538             <net data_index="222" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="input pin"/>\r
1539             <net data_index="221" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="input pin"/>\r
1540             <net data_index="220" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="input pin"/>\r
1541             <net data_index="219" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="input pin"/>\r
1542           </bus>\r
1543         </setup_view>\r
1544         <trigger_in_editor/>\r
1545         <trigger_out_editor/>\r
1546       </presentation>\r
1547       <trigger CRC="1D7CFFE1" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2016/08/28 18:23:20  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
1548         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
1549         <events use_custom_flow_control="no">\r
1550           <level enabled="yes" name="condition1" type="basic">'dbg_addr[0]' == high &amp;&amp; 'dbg_addr[10]' == high &amp;&amp; 'dbg_addr[11]' == high &amp;&amp; 'dbg_addr[12]' == high &amp;&amp; 'dbg_addr[13]' == low &amp;&amp; 'dbg_addr[14]' == low &amp;&amp; 'dbg_addr[15]' == high &amp;&amp; 'dbg_addr[1]' == high &amp;&amp; 'dbg_addr[2]' == high &amp;&amp; 'dbg_addr[3]' == high &amp;&amp; 'dbg_addr[4]' == high &amp;&amp; 'dbg_addr[5]' == high &amp;&amp; 'dbg_addr[6]' == high &amp;&amp; 'dbg_addr[7]' == high &amp;&amp; 'dbg_addr[8]' == low &amp;&amp; 'dbg_addr[9]' == low\r
1551             <power_up enabled="yes">\r
1552             </power_up>\r
1553             <op_node/>\r
1554           </level>\r
1555         </events>\r
1556         <storage_qualifier_events>\r
1557           <transitional>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111\r
1558             <pwr_up_transitional>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</pwr_up_transitional>\r
1559           </transitional>\r
1560           <storage_qualifier_level type="basic">\r
1561             <power_up>\r
1562             </power_up>\r
1563             <op_node/>\r
1564           </storage_qualifier_level>\r
1565           <storage_qualifier_level type="basic">\r
1566             <power_up>\r
1567             </power_up>\r
1568             <op_node/>\r
1569           </storage_qualifier_level>\r
1570           <storage_qualifier_level type="basic">\r
1571             <power_up>\r
1572             </power_up>\r
1573             <op_node/>\r
1574           </storage_qualifier_level>\r
1575         </storage_qualifier_events>\r
1576         <log>\r
1577           <data global_temp="1" name="log: 2016/08/28 18:23:20  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">0000000100000000000000000010000000000100000000001000000000000000101010110010111111011001010111011000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001010101100101111110110010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000010101011001011111101100101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000101010110010111111011001010111001000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001010101100101111110111111011100110000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000010101011001011111101111110111001100000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000101010110010111111011111101110001000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001010101100101111110111111011100010000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000010101011001011111101011110111001000000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000101010110010111111010111101110010000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001010101100101111110101111011100000000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000010101011001011111101011110111000000000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000101010110010111111010011101110010000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001010101100101111110100111011100100000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000010101011001011111101001110111000000000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000101010110010111111010011101110000000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001010001100100000000110111011100100000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000010100011001000000001101110111001000000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000101000110010000000011011101110000000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001010001100100000000110111011100000000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000010100011001000000001111001011001000000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000101000110010000000011110010110010000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001010001100100000000111100101100000000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000010100011001000000001111001011000000000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000101000110010000000010110010110011000001001011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001010001100100000000101100101100110000010010110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000010100011001000000001011001011000100000100101101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000101000110010000000010110010110001000001001011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001010001100100000000100100101100110000010010110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000010100011001000000001001001011001100000100101101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000101000110010000000010010010110001000001001011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001010001100100000000100100101100010000010010110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000010101011001000000001101001011001100000100101101100010000011001100000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000101010110010000000011010010110011000001001011011000100000110011000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001010101100100000000110100101100010000010010110110001000001100110000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000010101011001000000001101001011000100000100101101100010000011001100000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000101010110010000000011111011001011000001001011011000100000110010000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001010101100100000000111110110010110000010010110110001000001100100000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000010101011001000000001111101100100100000100101101100010000011001000000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000101010110010000000011111011001001000001001011011000100000110010000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001010101100100000000101110110010100000010010110110001000001100110000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000010101011001000000001011101100101000000100101101100010000011001100000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000101010110010000000010111011001000000001001011011000100000110011000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001010101100100000000101110110010000000010010110110001000001100110000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000010101011001000000001001101100101000000100101101100010000011001000000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000101010110010000000010011011001010000001001011011000100000110010000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001010101100100000000100110110010000000010010110110001000001100100000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000010101011001000000001001101100100000000100101101100010000011001000000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000101000110011000000011011011001010000001001011011000100000110011000000000000000000000000000000001110000000000000100100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001010001100110000000110110110010100000010010110110001000001100110000000000000000000000000000000011100000000000001001001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000010100011001100000001101101100100000000100101101100010000011001100000000000000000000000000000000111000000000000010010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000101000110011000000011011011001000000001001011011000100000110011000000000000000000000000000000001110000000000000100100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001010001100110000000111100100110100000010010110110001000001100100000000000000000000000000000000011100000000000001001001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000010100011001100000001111001001101000000100101101100010000011001000000000000000000000000000000000111000000000000010010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000101000110011000000011110010011000000001001011011000100000110010000000000000000000000000000000001110000000000000100100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001010001100110000000111100100110000000010010110110001000001100100000000000000000000000000000000011100000000000001001001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000010100011001100000001011001001101100000100100111100010000011001100000000000000000000000000000000111000000000000010010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000101000110011000000010110010011011000001001001111000100000110011000000000000000000000000000000001110000000000000100100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001010001100110000000101100100110010000010010011110001000001100110000000000000000000000000000000011100000000000001001001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000010100011001100000001011001001100100000100100111100010000011001100000000000000000000000000000000111000000000000010010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000101000110011000000010010010011011000001001001111000100000110010000000000000000000000000000000001110000000000000100100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001010001100110000000100100100110110000010010011110001000001100100000000000000000000000000000000011100000000000001001001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000010100011001100000001001001001100100000100100111100010000011001000000000000000000000000000000000111000000000000010010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000101000110011000000010010010011001000001001001111000100000110010000000000000000000000000000000001110000000000000100100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001010101100110000000110100100110110000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000010101011001100000001101001001101100000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000101010110011000000011010010011001000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001010101100110000000110100100110010000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000010101011001100000001111110101101100000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000101010110011000000011111101011011000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001010101100110000000111111010110010000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000010101011001100000001111110101100100000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000101010110011000000010111101011010000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001010101100110000000101111010110100000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000010101011001100000001011110101100000000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000101010110011000000010111101011000000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001010101100110000000100111010110100000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000010101011001100000001001110101101000000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000101010110011000000010011101011000000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001010101100110000000100111010110000000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010100011001010000001101110101101000000100100111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000101000110010100000011011101011010000001001001111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001010001100101000000110111010110000000010010011110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010100011001010000001101110101100000000100100111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000101000110010100000011100001111010000001001001111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001010001100101000000111000011110100000010010011110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010100011001010000001110000111100000000100100111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000101000110010100000011100001111000000001001001111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001010001100101000000101000011110110000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010100011001010000001010000111101100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000101000110010100000010100001111001000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001010001100101000000101000011110010000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010100011001010000001000000111101100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000101000110010100000010000001111011000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001010001100101000000100000011110010000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010100011001010000001000000111100100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000101010110010100000011000001111011000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001010101100101000000110000011110110000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010101011001010000001100000111100100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000101010110010100000011000001111001000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001010101100101000000111110000000110000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010101011001010000001111100000001100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000101010110010100000011111000000001000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001010101100101000000111110000000010000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010101011001010000001011100000001010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000101010110010100000010111000000010100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001010101100101000000101110000000001000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010101011001010000001011100000000010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000101010110010100000010011000000010100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001010101100101000000100110000000101000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010101011001010000001001100000000010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000101010110010100000010011000000000100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001010101100100100000110110000000101000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010101011001001000001101100000001010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000101010110010010000011011000000000100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001010101100100100000110110000000001000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010101011001001000001110011001001010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000101010110010010000011100110010010100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001010101100100100000111001100100001000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010101011001001000001110011001000010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000101010110010010000010100110010010000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001010101100100100000101001100100100000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010101011001001000001010011001000000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000101010110010010000010100110010000000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001010101100100100000100001100100100000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010101011001001000001000011001001000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000101010110010010000010000110010000000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001010101100100100000100001100100000000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010101011001001000001100011001001000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000101010110010010000011000110010010000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001010101100100100000110001100100000000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010101011001001000001100011001000000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000101010110010010000011100110010010000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001010101100100100000111001100100100000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010101011001001000001110011001000000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000101010110010010000011100110010000000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001010101100100100000101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010101011001001000001010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000101010110010010000010100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001010101100100100000101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010101011001001000001000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000101010110010010000010000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001010101100100100000100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010101011001001000001000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000101000110011010000011000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001010001100110100000110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010100011001101000001100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000101000110011010000011000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001010001100110100000111111010000110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010100011001101000001111110100001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000101000110011010000011111101000001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001010001100110100000111111010000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010100011001101000001011110100001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000101000110011010000010111101000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001010001100110100000101111010000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010100011001101000001011110100000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000101000110011010000010011101000010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001010001100110100000100111010000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010100011001101000001001110100000010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000101000110011010000010011101000000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001010101100110100000110111010000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010101011001101000001101110100001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000101010110011010000011011101000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001010101100110100000110111010000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010101011001101000001111111100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000101010110011010000011111111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001010101100110100000111111110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010101011001101000001111111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000101010110011010000010111111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001010101100110100000101111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010101011001101000001011111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000101010110011010000010111111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001010101100110100000100111110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010101011001101000001001111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000101010110011010000010011111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001010101100110100000100111110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010101111001110100011101111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000101011110011101000111011111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001010111100111010001110111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010101111001110100011101111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000101011110011101000111100110010010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001010111100111010001111001100100100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010101111001110100011110011001000000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000101011110011101000111100110010000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001010111100111010001101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010101111001110100011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000101011110011101000110100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001010111100111010001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010101111001110100011000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000101011110011101000110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001010111100111010001100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010101111001110100011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000101001110010011000111000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001010011100100110001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010100111001001100011100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000101001110010011000111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001010011100100110001111110111110110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010100111001001100011111101111101100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000101001110010011000111111011111001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001010011100100110001111110111110010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010100111001001100011011101111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000101001110010011000110111011111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001010011100100110001101110111110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010100111001001100011011101111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000101001110010011000110011011111010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001010011100100110001100110111110101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010100111001001100011001101111100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000101001110010011000110011011111000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001010111100100110001110110111110101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010101111001001100011101101111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000101011110010011000111011011111000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001010111100100110001110110111110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010101111001001100011110101100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000101011110010011000111101011001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001010111100100110001111010110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010101111001001100011110101100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000101011110010011000110101011001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001010111100100110001101010110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010101111001001100011010101100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000101011110010011000110101011001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001010111100100110001100010110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010101111001001100011000101100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000101011110010011000110001011001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001010111100100110001100010110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010101011001101111101100101100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000101010110011011111011001011001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001010101100110111110110010110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010101011001101111101100101100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000101010110011011111011100011100010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001010101100110111110111000111000100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010101011001101111101110001110000000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000101010110011011111011100011100000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001010101100110111110101000111000110000000011100110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010101011001101111101010001110001100000000111001100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000101010110011011111010100011100001000000001110011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001010101100110111110101000111000010000000011100110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010101011001101111101000001110001100000000111001100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000101010110011011111010000011100011000000001110011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001010101100110111110100000111000010000000011100110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010101011001101111101000001110000100000000111001100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000101010110010111111011000011100011000000001110011000100000110011000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001010101100101111110110000111000110000000011100110001000001100110000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010101011001011111101100001110000100000000111001100010000011001100000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000101010110010111111011000011100001000000001110011000100000110011000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001010101100101111110111111011100110000000011100110001000001100100000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010101011001011111101111110111001100000000111001100010000011001000000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000101010110010111111011111101110001000000001110011000100000110010000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001010101100101111110111111011100010000000011100110001000001100100000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010101011001011111101011110111001000000000111001100010000011001100000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000101010110010111111010111101110010000000001110011000100000110011000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001010101100101111110101111011100000000000011100110001000001100110000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010101011001011111101011110111000000000000111001100010000011001100000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000101010110010111111010011101110010000000001110011000100000110010000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001010101100101111110100111011100100000000011100110001000001100100000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010101011001011111101001110111000000000000111001100010000011001000000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000101010110010111111010011101110000000000001110011000100000110010000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001010001100101111110110111011100100000000011100110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010100011001011111101101110111001000000000111001100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000101000110010111111011011101110000000000001110011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001010001100101111110110111011100000000000011100110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010100011001011111101111001010101000000000111001100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000101000110010111111011110010101010000000001110011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001010001100101111110111100101010000000000011100110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010100011001011111101111001010100000000000111001100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000101000110010111111010110010101011000001001010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001010001100101111110101100101010110000010010101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010100011001011111101011001010100100000100101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000101000110010111111010110010101001000001001010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001010001100101111110100100101010110000010010101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010100011001011111101001001010101100000100101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000101000110010111111010010010101001000001001010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001010001100101111110100100101010010000010010101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010101011001011111101101001010101100000100101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000101010110010111111011010010101011000001001010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001010101100101111110110100101010010000010010101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010101011001011111101101001010100100000100101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000101010110010111111011111101110011000001001010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001010101100101111110111111011100110000010010101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010101011001011111101111110111000100000100101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000101010110010111111011111101110001000001001010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001010101100101111110101111011100100000010010101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010101011001011111101011110111001000000100101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000101010110010111111010111101110000000001001010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001010101100101111110101111011100000000010010101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010101011001011111101001110111001000000100101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000101010110010111111010011101110010000001001010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001010101100101111110100111011100000000010010101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010101011001011111101001110111000000000100101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000101000110011111111011011101110010000001001010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001010001100111111110110111011100100000010010101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010100011001111111101101110111000000000100101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000101000110011111111011011101110000000001001010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001010001100111111110111010101110100000010010101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010100011001111111101110101011101000000100101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000101000110011111111011101010111000000001001010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001010001100111111110111010101110000000010010101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010100011001111111101010101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000101000110011111111010101010111011000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001010001100111111110101010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010100011001111111101010101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000101000110011111111010001010111011000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001010001100111111110100010101110110000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010100011001111111101000101011100100000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000101000110011111111010001010111001000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001010101100101111110110010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010101011001011111101100101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000101010110010111111011001010111001000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001010101100101111110110010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010101011001011111101111110111001100000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000101010110010111111011111101110011000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001010101100101111110111111011100010000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010101011001011111101111110111000100000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000101010110010111111010111101110010000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001010101100101111110101111011100100000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010101011001011111101011110111000000000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000101010110010111111010111101110000000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001010101100101111110100111011100100000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010101011001011111101001110111001000000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000101010110010111111010011101110000000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001010101100101111110100111011100000000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010101011001111111101101110111001000000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000101010110011111111011011101110010000000101011111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001010101100111111110110111011100000000001010111110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010101011001111111101101110111000000000010101111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000101010110011111111011110010000010000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001010101100111111110111100100000100000001010111110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010101011001111111101111001000000000000010101111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000101010110011111111011110010000000000000101011111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001010101100111111110101100100000110000010010000110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010101011001111111101011001000001100000100100001100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000101010110011111111010110010000001000001001000011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001010101100111111110101100100000010000010010000110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010101011001111111101001001000001100000100100001100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000101010110011111111010010010000011000001001000011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001010101100111111110100100100000010000010010000110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010101011001111111101001001000000100000100100001100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000101000110010000000111010010000011000001001000011000100000110011000000000000000000000000000000001110000000000000101000001100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001010001100100000001110100100000110000010010000110001000001100110000000000000000000000000000000011100000000000001010000011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010100011001000000011101001000000100000100100001100010000011001100000000000000000000000000000000111000000000000010100000110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000101000110010000000111010010000001000001001000011000100000110011000000000000000000000000000000001110000000000000101000001100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001010001100100000001111000011110110000010010000110001000001100100000000000000000000000000000000011100000000000001010000011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010100011001000000011110000111101100000100100001100010000011001000000000000000000000000000000000111000000000000010100000110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000101000110010000000111100001111001000001001000011000100000110010000000000000000000000000000000001110000000000000101000001100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001010001100100000001111000011110010000010010000110001000001100100000000000000000000000000000000011100000000000001010000011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010100011001000000011010000111101000000100100001100010000011001100000000000000000000000000000000111000000000000010100000110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000101000110010000000110100001111010000001001000011000100000110011000000000000000000000000000000001110000000000000101000001100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001010001100100000001101000011110000000010010000110001000001100110000000000000000000000000000000011100000000000001010000011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010100011001000000011010000111100000000100100001100010000011001100000000000000000000000000000000111000000000000010100000110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000101000110010000000110000001111010000001001000011000100000110010000000000000000000000000000000001110000000000000101000001100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001010001100100000001100000011110100000010010000110001000001100100000000000000000000000000000000011100000000000001010000011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010100011001000000011000000111100000000100100001100010000011001000000000000000000000000000000000111000000000000010100000110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000101000110010000000110000001111000000001001000011000100000110010000000000000000000000000000000001110000000000000101000001100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001010101100100000001110000011110100000010010000110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010101011001000000011100000111101000000100100001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000101010110010000000111000001111000000001001000011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001010101100100000001110000011110000000010010000110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010101011001000000011111001001101000000100100001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000101010110010000000111110010011010000001001000011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001010101100100000001111100100110000000010010000110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010101011001000000011111001001100000000100100001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000101010110010000000110110010011011000001001001111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001010101100100000001101100100110110000010010011110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010101011001000000011011001001100100000100100111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000101010110010000000110110010011001000001001001111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001010101100100000001100100100110110000010010011110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010101011001000000011001001001101100000100100111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000101010110010000000110010010011001000001001001111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001010101100100000001100100100110010000010010011110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010100011001100000011101001001101100000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000101000110011000000111010010011011000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001010001100110000001110100100110010000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010100011001100000011101001001100100000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000101000110011000000111111101111011000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001010001100110000001111111011110110000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010100011001100000011111110111100100000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000101000110011000000111111101111001000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001010001100110000001101111011110100000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010100011001100000011011110111101000000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000101000110011000000110111101111000000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001010001100110000001101111011110000000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010100011001100000011001110111101000000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000101000110011000000110011101111010000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001010001100110000001100111011110000000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010100011001100000011001110111100000000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000101010110011000000111011101111010000001001001111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001010101100110000001110111011110100000010010011110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010101011001100000011101110111100000000100100111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000101010110011000000111011101111000000001001001111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001010101100110000001111000011110100000010010011110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010101011001100000011110000111101000000100100111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000101010110011000000111100001111000000001001001111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001010101100110000001111000011110000000010010011110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010101011001100000011010000111101100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000101010110011000000110100001111011000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001010101100110000001101000011110010000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010101011001100000011010000111100100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000101010110011000000110000001111011000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001010101100110000001100000011110110000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010101011001100000011000000111100100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000101010110011000000110000001111001000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001010001100101000001110000011110110000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010100011001010000011100000111101100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000101000110010100000111000001111001000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001010001100101000001110000011110010000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010100011001010000011111100000001100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000101000110010100000111111000000011000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001010001100101000001111110000000010000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010100011001010000011111100000000100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000101000110010100000110111000000010100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001010001100101000001101110000000101000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010100011001010000011011100000000010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000101000110010100000110111000000000100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001010001100101000001100110000000101000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010100011001010000011001100000001010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000101000110010100000110011000000000100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001010001100101000001100110000000001000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010100011001001000011101100000001010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000101000110010010000111011000000010100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001010001100100100001110110000000001000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010100011001001000011101100000000010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000101000110010010000111100110010010100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001010001100100100001111001100100101000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010100011001001000011110011001000010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000101000110010010000111100110010000100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001010001100100100001101001100100100000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010100011001001000011010011001001000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000101000110010010000110100110010000000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001010001100100100001101001100100000000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010100011001001000011000011001001000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000101000110010010000110000110010010000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001010001100100100001100001100100000000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010100011001001000011000011001000000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000101000110010010000111000110010010000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001010001100100100001110001100100100000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010100011001001000011100011001000000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000101000110010010000111000110010000000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001010001100100100001111001100100100000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010100011001001000011110011001001000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000101000110010010000111100110010000000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001010001100100100001111001100100000000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010100011001001000011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000101000110010010000110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001010001100100100001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010100011001001000011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000101000110010010000110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001010001100100100001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010100011001001000011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000101000110010010000110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001010101100100100001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010101011001001000011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000101010110010010000111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001010101100100100001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010101011001001000011110101100001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000101010110010010000111101011000011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001010101100100100001111010110000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010101011001001000011110101100000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000101010110010010000110101011000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001010101100100100001101010110000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010101011001001000011010101100000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000101010110010010000110101011000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001010101100100100001100010110000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010101011001001000011000101100001010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000101010110010010000110001011000000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001010101100100100001100010110000001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010100011001101000011100101100001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000101000110011010000111001011000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001010001100110100001110010110000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010100011001101000011100101100000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000101000110011010000111111111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001010001100110100001111111110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010100011001101000011111111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000101000110011010000111111111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001010001100110100001101111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010100011001101000011011111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000101000110011010000110111111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001010001100110100001101111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010100011001101000011001111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000101000110011010000110011111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001010001100110100001100111110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010100011001101000011001111100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000101001110011011000111011111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001010011100110110001110111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010100111001101100011101111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000101001110011011000111011111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001010011100110110001111001100100100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010100111001101100011110011001001000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000101001110011011000111100110010000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001010011100110110001111001100100000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010100111001101100011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000101001110011011000110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001010011100110110001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010100111001101100011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000101001110011011000110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001010011100110110001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010100111001101100011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000101001110011011000110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001010111100110110001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010101111001101100011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000101011110011011000111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001010111100110110001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010101111001101100011110010111101100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000101011110011011000111100101111011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001010111100110110001111001011110010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010101111001101100011110010111100100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000101011110011011000110100101111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001010111100110110001101001011110101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010101111001101100011010010111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000101011110011011000110100101111000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001010111100110110001100001011110101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010101111001101100011000010111101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000101011110011011000110000101111000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001010111100110110001100001011110001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010100111001011100011100010111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000101001110010111000111000101111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001010011100101110001110001011110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010100111001011100011100010111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000101001110010111000111111011001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001010011100101110001111110110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010100111001011100011111101100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000101001110010111000111111011001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001010011100101110001101110110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010100111001011100011011101100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000101001110010111000110111011001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001010011100101110001101110110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010100111001011100011001101100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000101001110010111000110011011001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001010011100101110001100110110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010100111001011100011001101100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000101000110010101111111011011001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001010001100101011111110110110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010100011001010111111101101100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000101000110010101111111011011001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001010001100101011111111000111000100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010100011001010111111110001110001000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000101000110010101111111100011100000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001010001100101011111111000111000000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010100011001010111111010001110001100000000111001100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000101000110010101111110100011100011000000001110011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001010001100101011111101000111000010000000011100110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010100011001010111111010001110000100000000111001100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000101000110010101111110000011100011000000001110011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001010001100101011111100000111000110000000011100110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010100011001010111111000001110000100000000111001100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000101000110010101111110000011100001000000001110011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001010101100101111111110000111000110000000011100110001000001100110000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010101011001011111111100001110001100000000111001100010000011001100000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000101010110010111111111000011100001000000001110011000100000110011000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001010101100101111111110000111000010000000011100110001000001100110000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010101011001011111111111011011101100000000111001100010000011001000000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000101010110010111111111110110111011000000001110011000100000110010000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001010101100101111111111101101110010000000011100110001000001100100000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010101011001011111111111011011100100000000111001100010000011001000000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000101010110010111111110110110111010000000001110011000100000110011000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001010101100101111111101101101110100000000011100110001000001100110000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010101011001011111111011011011100000000000111001100010000011001100000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000101010110010111111110110110111000000000001110011000100000110011000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001010101100101111111100101101110100000000011100110001000001100100000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010101011001011111111001011011101000000000111001100010000011001000000000000000000000000000000000111000000000000011000000010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000101010110010111111110010110111000000000001110011000100000110010000000000000000000000000000000001110000000000000110000000100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001010101100101111111100101101110000000000011100110001000001100100000000000000000000000000000000011100000000000001100000001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010101011001010111111101011011101000000000111001100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000101010110010101111111010110111010000000001110011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001010101100101011111110101101110000000000011100110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010101011001010111111101011011100000000000111001100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000101010110010101111111110010101010000000001110011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001010101100101011111111100101010100000000011100110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010101011001010111111111001010100000000000111001100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000101010110010101111111110010101000000000001110011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001010101100101011111101100101010110000010010101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010101011001010111111011001010101100000100101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000101010110010101111110110010101001000001001010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001010101100101011111101100101010010000010010101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010101011001010111111001001010101100000100101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000101010110010101111110010010101011000001001010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001010101100101011111100100101010010000010010101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010101011001010111111001001010100100000100101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000011000110011101111111010010101011000001001010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000110001100111011111110100101010110000010010101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001100011001110111111101001010100100000100101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000011000110011101111111010010101001000001001010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000110001100111011111111100000110110000010010101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001100011001110111111111000001101100000100101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000011000110011101111111110000011001000001001010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000110001100111011111111100000110010000010010101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001100011001110111111011000001101000000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000011000110011101111110110000011010000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000110001100111011111101100000110000000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001100011001110111111011000001100000000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000011000110011101111110010000011010000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000110001100111011111100100000110100000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001100011001110111111001000001100000000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000011000110011101111110010000011000000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000110101100111011111110100000110100000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001101011001110111111101000001101000000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000011010110011101111111010000011000000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000110101100111011111110100000110000000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001101011001110111111111011000101000000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000011010110011101111111110110001010000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000110101100111011111111101100010000000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001101011001110111111111011000100000000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000011010110011101111110110110001011000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000110101100111011111101101100010110000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001101011001110111111011011000100100000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000011010110011101111110110110001001000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000110101100111011111100101100010110000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001101011001110111111001011000101100000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000011010110011101111110010110001001000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000110101100111011111100101100010010000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001100011001001111111101011000101100000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000011000110010011111111010110001011000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000110001100100111111110101100010010000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001100011001001111111101011000100100000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000011000110010011111111100000100011000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000110001100100111111111000001000110000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001100011001001111111110000010000100000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000011000110010011111111100000100001000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000110001100100111111101000001000101000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001100011001001111111010000010001010000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000011000110010011111110100000100000100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000110001100100111111101000001000001000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001100011001001111111000000010001010000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000011000110010011111110000000100010100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000110001100100111111100000001000001000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001100011001001111111000000010000010000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000011010110010011111111000000100010100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000110101100100111111110000001000101000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001101011001001111111100000010000010000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000011010110010011111111000000100000100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000110101100100111111111011000000101000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001101011001001111111110110000001010000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000011010110010011111111101100000000100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000110101100100111111111011000000001000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001101011001001111111010110000001110000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000011010110010011111110101100000011100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000110101100100111111101011000000011000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001101011001001111111010110000000110000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000011010110010011111110001100000011100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000110101100100111111100011000000111000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001101011001001111111000110000000110000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000011010110010011111110001100000001100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000110010000000001000110100000110111000010110001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001100100000000010001101000001101110000101100011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000011001000000000100011010000011001100001011000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000110010000000001000110100000110011000010110001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001100100000000010001111000001101110000101100011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000011001000000000100011110000011011100001011000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000110010000000001000111100000110011000010110001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001100100000000010001111000001100110000101100011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000011001000000000100010110000011010000001011000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000110010000000001000101100000110100000010110001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001100100000000010001011000001100000000101100011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000011001000000000100010110000011000000001011000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000110010000000001000100100000110100000010110001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001100100000000010001001000001101000000101100011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000011001000000000100010010000011000000001011000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000110010000000001000100100000110000000010110001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001100011001101111111101111111101000000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000011000110011011111111011111111010000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000110001100110111111110111111110000000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001100011001101111111101111111100000000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000011000110011011111111110010101010000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000110001100110111111111100101010100000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001100011001101111111111001010100000000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000011000110011011111111110010101000000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000110001100110111111101100101010110000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001100011001101111111011001010101100000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000011000110011011111110110010101001000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000110001100110111111101100101010010000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001100011001101111111001001010101100000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000011000110011011111110010010101011000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000110001100110111111100100101010010000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001100011001101111111001001010100100000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000011010110011011111111010010101011000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000110101100110111111110100101010110000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001101011001101111111101001010100100000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000011010110011011111111010010101001000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000110101100110111111111100010010110000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001101011001101111111111000100101100000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000011010110011011111111110001001001000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000110101100110111111111100010010010000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001101011001101111111011000100101000000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000011010110011011111110110001001010000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000110101100110111111101100010010000000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001101011001101111111011000100100000000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000011010110011011111110010001001010000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000110101100110111111100100010010100000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001101011001101111111001000100100000000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000011010110011011111110010001001000000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000110001100101111111110100010010100000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001100011001011111111101000100101000000100101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000011000110010111111111010001001000000001001010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000110001100101111111110100010010000000010010101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001100011001011111111110101011101000000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000011000110010111111111101010111010000001001010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000110001100101111111111010101110000000010010101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001100011001011111111110101011100000000100101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000011000110010111111110101010111011000000101011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000110001100101111111101010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001100011001011111111010101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000011000110010111111110101010111001000000101011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000110001100101111111100010101110110000001010111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001100011001011111111000101011101100000010101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000011000110010111111110001010111001000000101011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000110001100101111111100010101110010000001010111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001101011001011111111100101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000011010110010111111111001010111011000000101011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000110101100101111111110010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001101011001011111111100101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000011010110010111111111110110111011000000101011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000110101100101111111111101101110110000001010111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001101011001011111111111011011100100000010101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000011010110010111111111110110111001000000101011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000110101100101111111101101101110100000001010111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001101011001011111111011011011101000000010101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000011010110010111111110110110111000000000101011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000110101100101111111101101101110000000001010111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001101011001011111111001011011101000000010101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000011010110010111111110010110111010000000101011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000110101100101111111100101101110000000001010111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001101011001011111111001011011100000000010101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000011010110010111111111010110111010000000101011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000110101100101111111110101101110100000001010111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001101011001011111111101011011100000000010101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000011010110010111111111010110111000000000101011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000110101100101111111111101101110100000001010111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001101011001011111111111011011101000000010101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000011010110010111111111110110111000000000101011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000110101100101111111111101101110000000001010111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001101011001011111111011011011101100000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000011010110010111111110110110111011000001011011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000110101100101111111101101101110010000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001101011001011111111011011011100100000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000011010110010111111110010110111011000001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000110101100101111111100101101110110000010110111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001101011001011111111001011011100100000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000011010110010111111110010110111001000001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000110001100111111111110101101110110000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001100011001111111111101011011101100000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000011000110011111111111010110111001000001011011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000110001100111111111110101101110010000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001100011001111111111110000010001100000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000011000110011111111111100000100011000001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000110001100111111111111000001000010000010110111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001100011001111111111110000010000100000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000011000110011111111110100000100010100001011011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000110001100111111111101000001000101000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001100011001111111111010000010000010000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000011000110011111111110100000100000100001011011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000110001100111111111100000001000101000010110111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001100011001111111111000000010001010000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000011000110011111111110000000100000100001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000110001100111111111100000001000001000010110111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001101011001111111111100000010001010000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000011010110011111111111000000100010100001011011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000110101100111111111110000001000001000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001101011001111111111100000010000010000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000011010110011111111111101100000010100001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000110101100111111111111011000000101000010110111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001101011001111111111110110000000010000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000011010110011111111111101100000000100001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000110101100111111111101011000000111000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001101011001111111111010110000001110000101101111100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000011010110011111111110101100000001100001011011111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000110101100111111111101011000000011000010110111110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001101011001111111111000110000001110000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000011010110011111111110001100000011100001011011111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000110101100111111111100011000000011000010110111110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001101011001111111111000110000000110000101101111100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000011001000000000100011011111111011100001011011111000100000110011000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000110010000000001000110111111110111000010110111110001000001100110000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001100100000000010001101111111100110000101101111100010000011001100000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000011001000000000100011011111111001100001011011111000100000110011000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000110010000000001000111100000110111000010110111110001000001100100000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001100100000000010001111000001101110000101101111100010000011001000000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000011001000000000100011110000011001100001011011111000100000110010000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000110010000000001000111100000110011000010110111110001000001100100000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001100100000000010001011000001101000000101101111100010000011001100000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000011001000000000100010110000011010000001011011111000100000110011000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000110010000000001000101100000110000000010110111110001000001100110000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001100100000000010001011000001100000000101101111100010000011001100000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000011001000000000100010010000011010000001011011111000100000110010000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000110010000000001000100100000110100000010110111110001000001100100000000000000000000000000000000011100000000000001110000111000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001100100000000010001001000001100000000101101111100010000011001000000000000000000000000000000000111000000000000011100001110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000011001000000000100010010000011000000001011011111000100000110010000000000000000000000000000000001110000000000000111000011100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000110011100100000000010111111110100000010110111110001000001100110000000000000000000000000000000011100000000000001001001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001100111001000000000101111111101000000101101111100010000011001100000000000000000000000000000000111000000000000010010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000011001110010000000001011111111000000001011011111000100000110011000000000000000000000000000000001110000000000000100100101100010000011001111111100000110111111111111100001100001001000000000000000000100000000001000000000000000000000000000110011100100000000010111111110000000010110111110001000001100110000000000000000000000000000000011100000000000001001001011000100000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000000000000000000001100111001000000000111001001101000000101101111100010000011001000000000000000000000000000000000111000000000000010010010110001000001100111111110000011011111111111110000110000100100000000000000000010000000000100000000000000000000000000011001110010000000001110010011010000001011011111000100000110010000000000000000000000000000000001110000000000000100100101100010000011001111111100000110111111111111100001100001001000000000000000000100000000001000000000000000000000000000110011100100000000011100100110000000010110111110001000001100100000000000000000000000000000000011100000000000001001001011000100000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000000000000000000001100111001000000000111001001100000000101101111100010000011001000000000000000000000000000000000111000000000000010010010110001000001100111111110000011011111111111110000110000100100000000000000000010000000000100000000000000000000000000011001110010000000000110010011011000001001001111000100000110011000000000000000000000000000000001110000000000000100100101100010000011001111111100000110111111111111100001100001001000000000000000000100000000001000000000000000000000000000110011100100000000001100100110110000010010011110001000001100110000000000000000000000000000000011100000000000001001001011000100000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000000000000000000001100111001000000000011001001100100000100100111100010000011001100000000000000000000000000000000111000000000000010010010110001000001100111111110000011011111111111110000110000100100000000000000000010000000000100000000000000000000000000011001110010000000000110010011001000001001001111000100000110011000000000000000000000000000000001110000000000000100100101100010000011001111111100000110111111111111100001100001001000000000000000000100000000001000000000000000000000000000110011100100000000000100100110110000010010011110001000001100100000000000000000000000000000000011100000000000001001001011000100000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000000000000000000001100111001000000000001001001101100000100100111100010000011001000000000000000000000000000000000111000000000000010010010110001000001100111111110000011011111111111110000110000100100000000000000000010000000000100000000000000000000000000011001110010000000000010010011001000001001001111000100000110010000000000000000000000000000000001110000000000000100100101100010000011001111111100000110111111111111100001100001001000000000000000000100000000001000000000000000000000000000110011100100000000000100100110010000010010011110001000001100100000000000000000000000000000000011100000000000001001001011000100000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000000000000000000001101111001000000000101001001101100000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000011011111111111110000110000000100000001000000000010000000000100000000000000000000000000011011110010000000001010010011011000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100000110111111111111100001100000001000000010000000000100000000001000000000000000000000000000110111100100000000010100100110010000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000000000000000000001101111001000000000101001001100100000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000011011111111111110000110000000100000001000000000010000000000100000000000000000000000000011011110010000000001100001011011000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100000110111111111111100001100000001000000010000000000100000000001000000000000000000000000000110111100100000000011000010110110000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000000000000000000001101111001000000000110000101100100000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000011011111111111110000110000000100000001000000000010000000000100000000000000000000000000011011110010000000001100001011001000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100000110111111111111100001100000001000000010000000000100000000001000000000000000000000000000110111100100000000001000010110100000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000000000000000000001101111001000000000010000101101000000100100111100010000011001100000000000000000000000000000000111000000000000011100000110001000001100111111110000011011111111111110000110000000100000001000000000010000000000100000000000000000000000000011011110010000000000100001011000000001001001111000100000110011000000000000000000000000000000001110000000000000111000001100010000011001111111100000110111111111111100001100000001000000010000000000100000000001000000000000000000000000000110111100100000000001000010110000000010010011110001000001100110000000000000000000000000000000011100000000000001110000011000100000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000000000000000000001101111001000000000000000101101000000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000011011111111111110000110000000100000001000000000010000000000100000000000000000000000000011011110010000000000000001011010000001001001111000100000110010000000000000000000000000000000001110000000000000111000001100010000011001111111100000110111111111111100001100000001000000010000000000100000000001000000000000000000000000000110111100100000000000000010110000000010010011110001000001100100000000000000000000000000000000011100000000000001110000011000100000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000000000000000000001101111001000000000000000101100000000100100111100010000011001000000000000000000000000000000000111000000000000011100000110001000001100111111110000011011111111111110000110000100100000001000000000010000000000100000000000000000000000000011001110011000000001000001011010000001001001111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000001000000000000000000000000000110011100110000000010000010110100000010010011110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000000000000000000001100111001100000000100000101100000000100100111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000100000000000000000000000000011001110011000000001000001011000000001001001111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000001000000000000000000000000000110011100110000000011000011110100000010010011110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000000000000000000001100111001100000000110000111101000000100100111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000100000000000000000000000000011001110011000000001100001111000000001001001111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000001000000000000000000000000000110011100110000000011000011110000000010010011110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000000000000000000001100111001100000000010000111101100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000100000000000000000000000000011001110011000000000100001111011000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000001000000000000000000000000000110011100110000000001000011110010000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000000000000000000001100111001100000000010000111100100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000100000000000000000000000000011001110011000000000000001111011000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000001000000000000000000000000000110011100110000000000000011110110000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000000000000000000001100111001100000000000000111100100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000100000000000000000000000000011001110011000000000000001111001000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000000000000000010000000000000000110111100110000000010000011110110000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000100000000000000001101111001100000000100000111101100000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000000000000001000000000000000011011110011000000001000001111001000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000000000000000010000000000000000110111100110000000010000011110010000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000100000000000000001101111001100000000111100000001100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000000000000001000000000000000011011110011000000001111000000011000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000000000000000010000000000000000110111100110000000011110000000010000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000100000000000000001101111001100000000111100000000100000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000000000000001000000000000000011011110011000000000111000000010100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000000000000000010000000000000000110111100110000000001110000000101000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000100000000000000001101111001100000000011100000000010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000000000000001000000000000000011011110011000000000111000000000100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000000000000000010000000000000000110111100110000000000110000000101000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000100000000000000001101111001100000000001100000001010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000000000000001000000000000000011011110011000000000011000000000100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000000000000000010000000000000000110111100110000000000110000000001000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000000000001101111001110000000101100000001010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000000000011011110011100000001011000000010100000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000000000110111100111000000010110000000001000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000000000001101111001110000000101100000000010000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000000000011011110011100000001100110010010100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000000000110111100111000000011001100100101000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000000000001101111001110000000110011001000010000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000000000011011110011100000001100110010000100000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000000000110111100111000000001001100100100000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000000000001101111001110000000010011001001000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000000000011011110011100000000100110010000000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000000000110111100111000000001001100100000000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000000000001101111001110000000000011001001000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000000000011011110011100000000000110010010000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000000000110111100111000000000001100100000000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000000000001101111001110000000000011001000000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000000000011011110011100000001000110010010000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000000000110111100111000000010001100100100000000001111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000000000001101111001110000000100011001000000000000011111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000000000011011110011100000001000110010000000000000111111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000000000110111100111000000011001100100100000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000000000001101111001110000000110011001001000000000011111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000000000011011110011100000001100110010000000000000111111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000000000110111100111000000011001100100000000000001111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000000000001101111001110000000010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000000000011011110011100000000100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000000000110111100111000000001001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000000000001101111001110000000010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000000000011011110011100000000000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000000000110111100111000000000001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000000000001101111001110000000000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000000000011011110011100000000000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000000000110011100100100000010001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000000000001100111001001000000100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000000000011001110010010000001000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000000000110011100100100000010001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000000000001100111001001000000111011100001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000000000011001110010010000001110111000011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000000000110011100100100000011101110000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000000000001100111001001000000111011100000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000000000011001110010010000000110111000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000000000110011100100100000001101110000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000000000001100111001001000000011011100000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000000000011001110010010000000110111000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000000000110011100100100000000101110000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000000000001100111001001000000001011100001010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000000000011001110010010000000010111000000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000000000110011100100100000000101110000001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000000000001101111001001000000101011100001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000000000011011110010010000001010111000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000000000110111100100100000010101110000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000000000001101111001001000000101011100000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000000000011011110010010000001111111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000000000110111100100100000011111110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000000000001101111001001000000111111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000000000011011110010010000001111111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000000000110111100100100000001111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000000000001101111001001000000011111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000000000011011110010010000000111111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000000000110111100100100000001111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000000000001101111001001000000001111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000000000011011110010010000000011111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000000000110111100100100000000111110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000000000001101111001001000000001111100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000011011110010111000111011111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000000000110111100101110001110111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000001101111001011100011101111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000011011110010111000111011111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000000000110111100101110001111001100100100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000001101111001011100011110011001001000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000011011110010111000111100110010000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000000000110111100101110001111001100100000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000001101111001011100011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000011011110010111000110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000000000110111100101110001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000001101111001011100011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000011011110010111000110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000000000110111100101110001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000001101111001011100011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000011011110010111000110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000000110011100111110001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000001100111001111100011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000011001110011111000111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000000110011100111110001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000001100111001111100011110011111101100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000011001110011111000111100111111011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000000110011100111110001111001111110010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000001100111001111100011110011111100100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000011001110011111000110100111111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000000110011100111110001101001111110101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000001100111001111100011010011111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000011001110011111000110100111111000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000000110011100111110001100001111110101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000001100111001111100011000011111101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000011001110011111000110000111111000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000000110011100111110001100001111110001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000001101111001111100011100011111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000011011110011111000111000111111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000000110111100111110001110001111110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000001101111001111100011100011111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000011011110011111000111100111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000000110111100111110001111001110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000001101111001111100011110011100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000011011110011111000111100111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000000110111100111110001101001110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000001101111001111100011010011100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000011011110011111000110100111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000000110111100111110001101001110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000001101111001111100011000011100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000011011110011111000110000111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000000110111100111110001100001110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000001101111001111100011000011100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000011001110010111111001000111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000000110011100101111110010001110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000001100111001011111100100011100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000011001110010111111001000111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000000110011100101111110011010101110100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000001100111001011111100110101011101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000011001110010111111001101010111000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000000110011100101111110011010101110000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000001100111001011111100010101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000011001110010111111000101010111011000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000000110011100101111110001010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000001100111001011111100010101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000011001110010111111000001010111011000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000000110011100101111110000010101110110000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000001100111001011111100000101011100100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000011001110010111111000001010111001000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000000110111100101111110010010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001101111001011111100100101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000011011110010111111001001010111001000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000110111100101111110010010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001101111001011111100110011011001100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000011011110010111111001100110110011000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000110111100101111110011001101100010000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001101111001011111100110011011000100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000011011110010111111000100110110010000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000110111100101111110001001101100100000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001101111001011111100010011011000000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000011011110010111111000100110110000000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000110111100101111110000001101100100000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001101111001011111100000011011001000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000011011110010111111000000110110000000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000110111100101111110000001101100000000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001101111001011111100100011011001000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000011011110010111111001000110110010000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000110111100101111110010001101100000000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001101111001011111100100011011000000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000011011110010111111001100110110010000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000110111100101111110011001101100100000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001101111001011111100110011011000000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000011011110010111111001100110110000000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000110111100101111110001001101100110000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001101111001011111100010011011001100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000011011110010111111000100110110001000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000110111100101111110001001101100010000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001101111001011111100000011011001100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000011011110010111111000000110110011000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000110111100101111110000001101100010000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001101111001011111100000011011000100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000011001110011111111001000110110011000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000110011100111111110010001101100110000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001100111001111111100100011011000100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000011001110011111111001000110110001000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000110011100111111110011010111110110000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001100111001111111100110101111101100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000011001110011111111001101011111001000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000110011100111111110011010111110010000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001100111001111111100010101111101010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000011001110011111111000101011111010100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000110011100111111110001010111110001000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001100111001111111100010101111100010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000011001110011111111000001011111010100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000110011100111111110000010111110101000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001100111001111111100000101111100010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000011001110011111111000001011111000100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000110111100111111110010010111110101000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001101111001111111100100101111101010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000011011110011111111001001011111000100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000110111100111111110010010111110001000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001101111001111111100110011100101010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000011011110011111111001100111001010100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000110111100111111110011001110010001000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001101111001111111100110011100100010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000011011110011111111000100111001011100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000110111100111111110001001110010111000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001101111001111111100010011100100110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000011011110011111111000100111001001100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000110111100111111110000001110010111000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001101111001111111100000011100101110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000011011110011111111000000111001001100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000110111100111111110000001110010011000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001100111001101111100100011100101110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000011001110011011111001000111001011100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000110011100110111110010001110010011000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001100111001101111100100011100100110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000011001110011011111001100000100011100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000110011100110111110011000001000111000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001100111001101111100110000010000110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000011001110011011111001100000100001100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000110011100110111110001000001000100100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001100111001101111100010000010001001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000011001110011011111000100000100000010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000110011100110111110001000001000000100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001100111001101111100000000010001001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000011001110011011111000000000100010010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000110011100110111110000000001000000100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001100111001101111100000000010000001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000011011110011011111001000000100010010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000110111100110111110010000001000100100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001101111001101111100100000010000001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000011011110011011111001000000100000010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000110111100110111110011111110010100100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001101111001101111100111111100101001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000011011110011011111001111111001000010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000110111100110111110011111110010000100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001101111001101111100011111100101000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000011011110011011111000111111001010000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000110111100110111110001111110010000000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001101111001101111100011111100100000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000011011110011011111000011111001010000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000110111100110111110000111110010100000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001101111001101111100001111100100000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000011011110011011111000011111001000000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000110011100100001001110111110010100000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001100111001000010011101111100101000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000011001110010000100111011111001000000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000110011100100001001110111110010000000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001100111001000010011110011001001000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000011001110010000100111100110010010000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000110011100100001001111001100100000000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001100111001000010011110011001000000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000011001110010000100110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000110011100100001001101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001100111001000010011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000011001110010000100110100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000110011100100001001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001100111001000010011000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000011001110010000100110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000110011100100001001100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001101111001000010011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000011011110010000100111000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000110111100100001001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001101111001000010011100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000011011110010000100111100000000011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000110111100100001001111000000000110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001101111001000010011110000000000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000011011110010000100111100000000001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000110111100100001001101000000000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001101111001000010011010000000001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000011011110010000100110100000000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000110111100100001001101000000000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001101111001000010011000000000001010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000011011110010000100110000000000010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000110111100100001001100000000000001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001101111001000010011000000000000010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000011001110011000100111000000000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000110011100110001001110000000000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001100111001100010011100000000000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000011001110011000100111000000000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000110011100110001001111101110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001100111001100010011111011100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000011001110011000100111110111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000110011100110001001111101110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001100111001100010011011011100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000011001110011000100110110111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000110011100110001001101101110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001100111001100010011011011100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000011001110011000100110010111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000110011100110001001100101110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001100111001100010011001011100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000011001110011000100110010111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000110011100100000001010101110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001100111001000000010101011100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000011001110010000000101010111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000110011100100000001010101110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001100111001000000010110011001001000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000011001110010000000101100110010010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000110011100100000001011001100100000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001100111001000000010110011001000000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000011001110010000000100100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000110011100100000001001001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001100111001000000010010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000011001110010000000100100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000110011100100000001000001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001100111001000000010000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000011001110010000000100000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000110011100100000001000001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001101111001000000010100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000011011110010000000101000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000110111100100000001010001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001101111001000000010100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000011011110010000000101111000100011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000110111100100000001011110001000110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001101111001000000010111100010000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000011011110010000000101111000100001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000110111100100000001001110001000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001101111001000000010011100010001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000011011110010000000100111000100000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000110111100100000001001110001000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001101111001000000010001100010001010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000011011110010000000100011000100010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000110111100100000001000110001000001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001101111001000000010001100010000010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000011001110011000000101011000100010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000110011100110000001010110001000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001100111001100000010101100010000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000011001110011000000101011000100000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000110011100110000001011111110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001100111001100000010111111100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000011001110011000000101111111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000110011100110000001011111110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001100111001100000010011111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000011001110011000000100111111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000110011100110000001001111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001100111001100000010011111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000011001110011000000100011111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000110011100110000001000111110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001100111001100000010001111100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000011001110011000000100011111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000110111100110001001110111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001101111001100010011101111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000011011110011000100111011111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000110111100110001001110111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001101111001100010011110011001001000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000011011110011000100111100110010010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000110111100110001001111001100100000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001101111001100010011110011001000000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000011011110011000100110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000110111100110001001101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001101111001100010011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000011011110011000100110100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000110111100110001001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001101111001100010011000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000011011110011000100110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000110111100110001001100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001100111001010010011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000011001110010100100111000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000110011100101001001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001100111001010010011100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000011001110010100100111110111111011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000110011100101001001111101111110110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001100111001010010011111011111100100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000011001110010100100111110111111001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000110011100101001001101101111110101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001100111001010010011011011111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000011001110010100100110110111111000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000110011100101001001101101111110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001100111001010010011001011111101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000011001110010100100110010111111010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000110011100101001001100101111110001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001100111001010010011001011111100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000011011110010100100111010111111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000110111100101001001110101111110101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001101111001010010011101011111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000011011110010100100111010111111000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000110111100101001001111101110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001101111001010010011111011100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000011011110010100100111110111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000110111100101001001111101110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001101111001010010011011011100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000011011110010100100110110111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000110111100101001001101101110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001101111001010010011011011100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000011011110010100100110010111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000110111100101001001100101110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001101111001010010011001011100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000011011110010100100110010111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000110111100101111111010101110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001101111001011111110101011100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000011011110010111111101010111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000110111100101111111010101110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001101111001011111110110101011101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000011011110010111111101101010111010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000110111100101111111011010101110000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001101111001011111110110101011100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000011011110010111111100101010111011000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000110111100101111111001010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001101111001011111110010101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000011011110010111111100101010111001000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000110111100101111111000010101110110000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001101111001011111110000101011101100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000011011110010111111100001010111001000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000110111100101111111000010101110010000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001101111001011111110100101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000011011110010111111101001010111011000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000110111100101111111010010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001101111001011111110100101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000011011110010111111101101010111011000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000110111100101111111011010101110110000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001101111001011111110110101011100100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000011011110010111111101101010111001000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000110111100101111111001010101110100000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001101111001011111110010101011101000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000011011110010111111100101010111000000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000110111100101111111001010101110000000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001101111001011111110000101011101000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000011011110010111111100001010111010000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000110111100101111111000010101110000000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001101111001011111110000101011100000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000011001110011111111101001010111010000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000110011100111111111010010101110100000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001100111001111111110100101011100000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000011001110011111111101001010111000000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000110011100111111111011001101100100000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001100111001111111110110011011001000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000011001110011111111101100110110000000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000110011100111111111011001101100000000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001100111001111111110010011011001100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000011001110011111111100100110110011000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000110011100111111111001001101100010000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001100111001111111110010011011000100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000011001110011111111100000110110011000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000110011100111111111000001101100110000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001100111001111111110000011011000100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000011001110011111111100000110110001000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000110111100111111111010001101100110000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001101111001111111110100011011001100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000011011110011111111101000110110001000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000110111100111111111010001101100010000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001101111001111111110111101111101100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000011011110011111111101111011111011000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000110111100111111111011110111110010000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001101111001111111110111101111100100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000011011110011111111100111011111010100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000110111100111111111001110111110101000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001101111001111111110011101111100010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000011011110011111111100111011111000100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000110111100111111111000110111110101000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001101111001111111110001101111101010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000011011110011111111100011011111000100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000110111100111111111000110111110001000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001100111001000000001101101111101010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000011001110010000000011011011111010100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000110011100100000000110110111110001000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001100111001000000001101101111100010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000011001110010000000011110111001010100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000110011100100000000111101110010101000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001100111001000000001111011100100010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000011001110010000000011110111001000100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000110011100100000000101101110010111000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001100111001000000001011011100101110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000011001110010000000010110111001001100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000110011100100000000101101110010011000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001100111001000000001001011100101110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000011001110010000000010010111001011100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000110011100100000000100101110010011000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001100111001000000001001011100100110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000011011110011011111101010111001011100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000110111100110111111010101110010111000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001101111001101111110101011100100110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000011011110011011111101010111001001100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000110111100110111111011011001000111000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001101111001101111110110110010001110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000011011110011011111101101100100001100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000110111100110111111011011001000011000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001101111001101111110010110010001001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000011011110011011111100101100100010010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000110111100110111111001011001000000100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001101111001101111110010110010000001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000011011110011011111100001100100010010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000110111100110111111000011001000100100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001101111001101111110000110010000001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000011011110011011111100001100100000010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000110011100101111111010011001000100100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001100111001011111110100110010001001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000011001110010111111101001100100000010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000110011100101111111010011001000000100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001100111001011111110111111100101001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000011001110010111111101111111001010010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000110011100101111111011111110010000100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001100111001011111110111111100100001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000011001110010111111100111111001010000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000110011100101111111001111110010100000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001100111001011111110011111100100000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000011001110010111111100111111001000000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000110011100101111111000111110010100000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001100111001011111110001111100101000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000011001110010111111100011111001000000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000110011100101111111000111110010000000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001100111001110010011101111100101000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000011001110011100100111011111001010000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000110011100111001001110111110010000000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001100111001110010011101111100100000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000011001110011100100111100110010010000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000110011100111001001111001100100100000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001100111001110010011110011001000000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000011001110011100100111100110010000000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000110011100111001001101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001100111001110010011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000011001110011100100110100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000110011100111001001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001100111001110010011000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000011001110011100100110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000110011100111001001100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001100111001110010011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000011011110011100100111000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000110111100111001001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001101111001110010011100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000011011110011100100111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000110111100111001001111100000000110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001101111001110010011111000000001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000011011110011100100111110000000001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000110111100111001001111100000000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001101111001110010011011000000001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000011011110011100100110110000000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000110111100111001001101100000000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001101111001110010011011000000000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000011011110011100100110010000000010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000110111100111001001100100000000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001101111001110010011001000000000010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000011011110011100100110010000000000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000110011100100101001110100000000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001100111001001010011101000000001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000011001110010010100111010000000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000110011100100101001110100000000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001100111001001010011110111100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000011001110010010100111101111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000110011100100101001111011110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001100111001001010011110111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000011001110010010100110101111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000110011100100101001101011110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001100111001001010011010111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000011001110010010100110101111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000110011100100101001100011110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001100111001001010011000111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000011001110010010100110001111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000110011100100101001100011110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001101111001000000001100111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000011011110010000000011001111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000110111100100000000110011110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001101111001000000001100111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000011011110010000000011100110010010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000110111100100000000111001100100100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001101111001000000001110011001000000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000011011110010000000011100110010000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000110111100100000000101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001101111001000000001010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000011011110010000000010100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000110111100100000000101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001101111001000000001000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000011011110010000000010000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000110111100100000000100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001101111001000000001000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000011001110011000000011000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000110011100110000000110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001100111001100000001100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000011001110011000000011000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000110011100110000000111100101000110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001100111001100000001111001010001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000011001110011000000011110010100001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000110011100110000000111100101000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001100111001100000001011001010001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000011001110011000000010110010100010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000110011100110000000101100101000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001100111001100000001011001010000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000011001110011000000010010010100010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000110011100110000000100100101000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001100111001100000001001001010000010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000011001110011000000010010010100000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000110111100110000000110100101000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001101111001100000001101001010001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000011011110011000000011010010100000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000110111100110000000110100101000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001101111001100000001111111100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000011011110011000000011111111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000110111100110000000111111110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001101111001100000001111111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000011011110011000000010111111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000110111100110000000101111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001101111001100000001011111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000011011110011000000010111111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000110111100110000000100111110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001101111001100000001001111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000011011110011000000010011111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000110111100110000000100111110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001101111001001010011101111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000011011110010010100111011111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000110111100100101001110111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001101111001001010011101111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000011011110010010100111100110010010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000110111100100101001111001100100100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001101111001001010011110011001000000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000011011110010010100111100110010000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000110111100100101001101001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001101111001001010011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000011011110010010100110100110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000110111100100101001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001101111001001010011000011001001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000011011110010010100110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000110111100100101001100001100100010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001101111001001010011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000011001110011010100111000110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000110011100110101001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001100111001101010011100011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000011001110011010100111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000110011100110101001111011111110110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001100111001101010011110111111101100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000011001110011010100111101111111001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000110011100110101001111011111110010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001100111001101010011010111111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000011001110011010100110101111111010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000110011100110101001101011111110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001100111001101010011010111111100010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000011001110011010100110001111111010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000110011100110101001100011111110101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001100111001101010011000111111100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000011001110011010100110001111111000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000110111100110101001110011111110101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001101111001101010011100111111101010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000011011110011010100111001111111000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000110111100110101001110011111110001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001101111001101010011110111100101010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000011011110011010100111101111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000110111100110101001111011110010001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001101111001101010011110111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000011011110011010100110101111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000110111100110101001101011110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001101111001101010011010111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000011011110011010100110101111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000110111100110101001100011110010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001101111001101010011000111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000011011110011010100110001111001000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000110111100110101001100011110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001100111001111111101100111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000011001110011111111011001111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000110011100111111110110011110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001100111001111111101100111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000011001110011111111011101010111010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000110011100111111110111010101110100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001100111001111111101110101011100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000011001110011111111011101010111000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000110011100111111110101010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001100111001111111101010101011101100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000011001110011111111010101010111001000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000110011100111111110101010101110010000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001100111001111111101000101011101100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000011001110011111111010001010111011000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000110011100111111110100010101110010000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001100111001111111101000101011100100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000011011110010111111011001010111011000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000110111100101111110110010101110110000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001101111001011111101100101011100100000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000011011110010111111011001010111001000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000110111100101111110111111110010110000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001101111001011111101111111100101100000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000011011110010111111011111111001001000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000110111100101111110111111110010010000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001101111001011111101011111100101000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000011011110010111111010111111001010000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000110111100101111110101111110010000000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001101111001011111101011111100100000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000011011110010111111010011111001010000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000110111100101111110100111110010100000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001101111001011111101001111100100000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000011011110010111111010011111001000000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000110111100111111110110111110010100000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000001101111001111111101101111100101000000010101111100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000011011110011111111011011111001000000000101011111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000110111100111111110110111110010000000001010111110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000001101111001111111101110011011001000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000011011110011111111011100110110010000000101011111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000110111100111111110111001101100000000001010111110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000001101111001111111101110011011000000000010101111100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000011011110011111111010100110110011000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000110111100111111110101001101100110000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000001101111001111111101010011011000100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000011011110011111111010100110110001000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000110111100111111110100001101100110000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000001101111001111111101000011011001100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000011011110011111111010000110110001000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000110111100111111110100001101100010000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000001100111001000000011100011011001100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000011001110010000000111000110110011000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000110011100100000001110001101100010000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000001100111001000000011100011011000100000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000011001110010000000111100111111011000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000110011100100000001111001111110110000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000001100111001000000011110011111100100000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000011001110010000000111100111111001000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000110011100100000001101001111110101000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000001100111001000000011010011111101010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000011001110010000000110100111111000100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000110011100100000001101001111110001000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000001100111001000000011000011111101010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000011001110010000000110000111111010100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000110011100100000001100001111110001000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000001100111001000000011000011111100010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000011011110010000000111000111111010100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000110111100100000001110001111110101000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000001101111001000000011100011111100010000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000011011110010000000111000111111000100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000110111100100000001111011110010101000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000001101111001000000011110111100101010000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000011011110010000000111101111001000100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000110111100100000001111011110010001000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000001101111001000000011010111100101110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000011011110010000000110101111001011100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000110111100100000001101011110010011000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000001101111001000000011010111100100110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000011011110010000000110001111001011100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000110111100100000001100011110010111000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000001101111001000000011000111100100110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000011011110010000000110001111001001100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000110011100101111110110011110010111000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000001100111001011111101100111100101110000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000011001110010111111011001111001001100000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000110011100101111110110011110010011000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000001100111001011111101110011010001110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000011001110010111111011100110100011100000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000110011100101111110111001101000011000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000001100111001011111101110011010000110000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000011001110010111111010100110100010010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000110011100101111110101001101000100100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000001100111001011111101010011010000001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000011001110010111111010100110100000010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000110011100101111110100001101000100100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000001100111001011111101000011010001001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000011001110010111111010000110100000010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000110011100101111110100001101000000100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000001101111001011111101100011010001001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000011011110010111111011000110100010010000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000110111100101111110110001101000000100000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000001101111001011111101100011010000001000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000011011110010111111011111111001010010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000110111100101111110111111110010100100000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000001101111001011111101111111100100001000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000011011110010111111011111111001000010000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000110111100101111110101111110010100000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000001101111001011111101011111100101000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000011011110010111111010111111001000000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000110111100101111110101111110010000000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000001101111001011111101001111100101000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000011011110010111111010011111001010000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000110111100101111110100111110010000000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000001101111001011111101001111100100000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000011001110010110100111011111001010000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000110011100101101001110111110010100000000110110110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000001100111001011010011101111100100000000001101101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000011001110010110100111011111001000000000011011011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000110011100101101001111001100100100000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000001100111001011010011110011001001000000001101101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000011001110010110100111100110010000000000011011011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000110011100101101001111001100100000000000110110110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000001100111001011010011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000011001110010110100110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000110011100101101001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000001100111001011010011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000011001110010110100110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000110011100101101001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000001100111001011010011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000011001110010110100110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001110111100101101001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000011101111001011010011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000111011110010110100111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001110111100101101001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000011101111001011010011110100000001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000111011110010110100111101000000011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001110111100101101001111010000000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000011101111001011010011110100000000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000111011110010110100110101000000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001110111100101101001101010000000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000011101111001011010011010100000000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000111011110010110100110101000000000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001110111100101101001100010000000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000011101111001011010011000100000001010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000111011110010110100110001000000000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001110111100101101001100010000000001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000011100111001111010011100100000001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000111001110011110100111001000000010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001110011100111101001110010000000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000011100111001111010011100100000000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000111001110011110100111111111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001110011100111101001111111110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000011100111001111010011111111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000111001110011110100111111111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001110011100111101001101111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000011100111001111010011011111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000111001110011110100110111111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001110011100111101001101111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000011100111001111010011001111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000111001110011110100110011111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001110011100111101001100111110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000011100111001111010011001111100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000111001110011000000111011111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001110011100110000001110111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000011100111001100000011101111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000111001110011000000111011111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001110011100110000001111001100100100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000011100111001100000011110011001001000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000111001110011000000111100110010000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001110011100110000001111001100100000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000011100111001100000011010011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000111001110011000000110100110010011000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001110011100110000001101001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000011100111001100000011010011001000100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000111001110011000000110000110010011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001110011100110000001100001100100110000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000011100111001100000011000011001000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000111001110011000000110000110010001000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001110111100110000001110001100100110000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000011101111001100000011100011001001100000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000111011110011000000111000110010001000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001110111100110000001110001100100010000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000011101111001100000011111111010001100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000111011110011000000111111110100011000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001110111100110000001111111101000010000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000011101111001100000011111111010000100000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000111011110011000000110111110100010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001110111100110000001101111101000101000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000011101111001100000011011111010000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000111011110011000000110111110100000100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001110111100110000001100111101000101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000011101111001100000011001111010001010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000111011110011000000110011110100000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001110111100110000001100111101000001000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000011100111001010000011101111010001010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000111001110010100000111011110100010100000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001110011100101000001110111101000001000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000011100111001010000011101111010000010000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000111001110010100000111111111001010100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001110011100101000001111111110010101000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000011100111001010000011111111100100010000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000111001110010100000111111111001000100000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001110011100101000001101111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000011100111001010000011011111100101000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000111001110010100000110111111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001110011100101000001101111110010000000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000011100111001010000011001111100101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000111001110010100000110011111001010000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001110011100101000001100111110010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000011100111001010000011001111100100000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000111011110011110100111011111001010000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001110111100111101001110111110010100000000110010110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000011101111001111010011101111100100000000001100101100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000111011110011110100111011111001000000000011001011000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001110111100111101001111101101010100000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000011101111001111010011111011010101000000001100101100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000111011110011110100111110110101000000000011001011000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001110111100111101001111101101010000000000110010110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000011101111001111010011011011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000111011110011110100110110110101011000001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001110111100111101001101101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000011101111001111010011011011010100100000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000111011110011110100110010110101011000001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001110111100111101001100101101010110000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000011101111001111010011001011010100100000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000111011110011110100110010110101001000001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001110011100100011001110101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011100111001000110011101011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000111001110010001100111010110101001000001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001110011100100011001110101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011100111001000110011111110100101100000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000111001110010001100111111101001011000001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001110011100100011001111111010010010000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011100111001000110011111110100100100000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000111001110010001100110111101001010100001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001110011100100011001101111010010101000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011100111001000110011011110100100010000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000111001110010001100110111101001000100001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001110011100100011001100111010010101000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011100111001000110011001110100101010000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000111001110010001100110011101001000100001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001110011100100011001100111010010001000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011101111001000110011101110100101010000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000111011110010001100111011101001010100001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001110111100100011001110111010010001000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011101111001000110011101110100100010000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000111011110010001100111111110001010100001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001110111100100011001111111100010101000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011101111001000110011111111000100010000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000111011110010001100111111110001000100001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001110111100100011001101111100010111000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011101111001000110011011111000101110000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000111011110010001100110111110001001100001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001110111100100011001101111100010011000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011101111001000110011001111000101110000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000111011110010001100110011110001011100001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001110111100100011001100111100010011000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011101111001000110011001111000100110000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000111011100011101001111011110001011100001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001110111000111010011110111100010111000010110101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011101110001110100111101111000100110000101101011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000111011100011101001111011110001001100001011010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001110111000111010011111100110010111000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011101110001110100111111001100101110000101101011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000111011100011101001111110011001001100001011010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001110111000111010011111100110010011000010110101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011101110001110100111011001100101000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000111011100011101001110110011001010000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001110111000111010011101100110010000000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011101110001110100111011001100100000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000111011100011101001110010011001010000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001110111000111010011100100110010100000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011101110001110100111001001100100000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000111011100011101001110010011001000000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001110011100110011001110100110010100000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011100111001100110011101001100101000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000111001110011001100111010011001000000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001110011100110011001110100110010000000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011100111001100110011111010000101000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000111001110011001100111110100001010000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001110011100110011001111101000010000000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011100111001100110011111010000100000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000111001110011001100110110100001011000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001110011100110011001101101000010110000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011100111001100110011011010000100100000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000111001110011001100110110100001001000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001110011100110011001100101000010110000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011100111001100110011001010000101100000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000111001110011001100110010100001001000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001110011100110011001100101000010010000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011101111001100110011101010000101100000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000111011110011001100111010100001011000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001110111100110011001110101000010010000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011101111001100110011101010000100100000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000111011110011001100111100000000011000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001110111100110011001111000000000110000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011101111001100110011110000000000100000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000111011110011001100111100000000001000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001110111100110011001101000000000101000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011101111001100110011010000000001010000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000111011110011001100110100000000000100001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001110111100110011001101000000000001000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011101111001100110011000000000001010000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000111011110011001100110000000000010100001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001110111100110011001100000000000001000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011101111001100110011000000000000010000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000111000000000000000001010011001010100001010000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001110000000000000000010100110010101000010100001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011100000000000000000101001100100010000101000011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000111000000000000000001010011001000100001010000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001110000000000000000011100110010101000010100001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011100000000000000000111001100101010000101000011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000111000000000000000001110011001000100001010000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001110000000000000000011100110010001000010100001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011100000000000000000011001100101000000101000011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000111000000000000000000110011001010000001010000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001110000000000000000001100110010000000010100001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011100000000000000000011001100100000000101000011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000111000000000000000000010011001010000001010000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001110000000000000000000100110010100000010100001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011100000000000000000001001100100000000101000011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000111000000000000000000010011001000000001010000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001110011100101011001110111111110100000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011100111001010110011101111111101000000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000111001110010101100111011111111000000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001110011100101011001110111111110000000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011100111001010110011111011010101000000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000111001110010101100111110110101010000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001110011100101011001111101101010000000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011100111001010110011111011010100000000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000111001110010101100110110110101011000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001110011100101011001101101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011100111001010110011011011010100100000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000111001110010101100110110110101001000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001110011100101011001100101101010110000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011100111001010110011001011010101100000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000111001110010101100110010110101001000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001110011100101011001100101101010010000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011101111001010110011101011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000111011110010101100111010110101011000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001110111100101011001110101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011101111001010110011101011010100100000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000111011110010101100111100011001011000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001110111100101011001111000110010110000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011101111001010110011110001100100100000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000111011110010101100111100011001001000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001110111100101011001101000110010101000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011101111001010110011010001100101010000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000111011110010101100110100011001000100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001110111100101011001101000110010001000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011101111001010110011000001100101010000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000111011110010101100110000011001010100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001110111100101011001100000110010001000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011101111001010110011000001100100010000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000111001110011101100111000011001010100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001110011100111011001110000110010101000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011100111001110110011100001100100010000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000111001110011101100111000011001000100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001110011100111011001111111100010101000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011100111001110110011111111000101010000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000111001110011101100111111110001000100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001110011100111011001111111100010001000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011100111001110110011011111000101110000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000111001110011101100110111110001011100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001110011100111011001101111100010011000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011100111001110110011011111000100110000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000111001110011101100110011110001011100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001110011100111011001100111100010111000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011100111001110110011001111000100110000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000111001110011101100110011110001001100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001110011000100110011110111100010111000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011100110001001100111101111000101110000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000111001100010011001111011110001001100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001110011000100110011110111100010011000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011100110001001100111111111000101110000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000111001100010011001111111110001011100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001110011000100110011111111100010011000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011100110001001100111111111000100110000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000111001100010011001110111110001010000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001110011000100110011101111100010100000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011100110001001100111011111000100000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000111001100010011001110111110001000000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001110011000100110011100111100010100000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011100110001001100111001111000101000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000111001100010011001110011110001000000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001110011000100110011100111100010000000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011101111001110110011101111000101000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000111011110011101100111011110001010000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001110111100111011001110111100010000000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011101111001110110011101111000100000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000111011110011101100111110100001010000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001110111100111011001111101000010100000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011101111001110110011111010000100000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000111011110011101100111110100001000000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001110111100111011001101101000010110000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011101111001110110011011010000101100000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000111011110011101100110110100001001000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001110111100111011001101101000010010000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011101111001110110011001010000101100000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000111011110011101100110010100001011000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001110111100111011001100101000010010000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011101111001110110011001010000100100000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000111001110010011100111010100001011000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001110011100100111001110101000010110000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011100111001001110011101010000100100000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000111001110010011100111010100001001000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001110011100100111001111100000000110000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011100111001001110011111000000001100000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000111001110010011100111110000000001000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001110011100100111001111100000000010000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011100111001001110011011000000001010000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000111001110010011100110110000000010100001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001110011100100111001101100000000001000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011100111001001110011011000000000010000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000111001110010011100110010000000010100001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001110011100100111001100100000000101000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011100111001001110011001000000000010000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000111001110010011100110010000000000100001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001110100000000000000010111100010101000010100001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011101000000000000000101111000101010000101000011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000111010000000000000001011110001000100001010000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001110100000000000000010111100010001000010100001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011101000000000000000111111000101010000101000011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000111010000000000000001111110001010100001010000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001110100000000000000011111100010001000010100001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011101000000000000000111111000100010000101000011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000111010000000000000000111110001010000001010000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001110100000000000000001111100010100000010100001110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011101000000000000000011111000100000000101000011100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000111010000000000000000111110001000000001010000111000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001110100000000000000000111100010100000010100001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011101000000000000000001111000101000000101000011100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000111010000000000000000011110001000000001010000111000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001110100000000000000000111100010000000010100001110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011101111001001110011101111111101000000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000111011110010011100111011111111010000001010000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001110111100100111001110111111110000000010100001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011101111001001110011101111111100000000101000011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000111011110010011100111100000100010000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001110111100100111001111000001000100000010100001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011101111001001110011110000010000000000101000011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000111011110010011100111100000100000000001010000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001110111100100111001101000001000110000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011101111001001110011010000010001100000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000111011110010011100110100000100001000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001110111100100111001101000001000010000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011101111001001110011000000010001100000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000111011110010011100110000000100011000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001110111100100111001100000001000010000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011101111001001110011000000010000100000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000111001110011011100111000000100011000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001110011100110111001110000001000110000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011100111001101110011100000010000100000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000111001110011011100111000000100001000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001110011100110111001111111111100110000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011100111001101110011111111111001100000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000111001110011011100111111111110001000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001110011100110111001111111111100010000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011100111001101110011011111111001010000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000111001110011011100110111111110010100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001110011100110111001101111111100001000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011100111001101110011011111111000010000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000111001110011011100110011111110010100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001110011100110111001100111111100101000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011100111001101110011001111111000010000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000111001110011011100110011111110000100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001110100000001111111010111111110101000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011101000000011111110101111111101010000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000111010000000111111101011111111000100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001110100000001111111010111111110001000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011101000000011111110110111000101010000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000111010000000111111101101110001010100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001110100000001111111011011100010001000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011101000000011111110110111000100010000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000111010000000111111100101110001011100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001110100000001111111001011100010111000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011101000000011111110010111000100110000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000111010000000111111100101110001001100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001110100000001111111000011100010111000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011101000000011111110000111000101110000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000111010000000111111100001110001001100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001110100000001111111000011100010011000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011101000000011111110101111100101110000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000111010000000111111101011111001011100000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001110100000001111111010111110010011000000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011101000000011111110101111100100110000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000111010000000111111101111111001011100000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001110100000001111111011111110010111000000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011101000000011111110111111100100110000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000111010000000111111101111111001001100000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001110100000001111111001111110010100100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011101000000011111110011111100101001000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000111010000000111111100111111001000010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001110100000001111111001111110010000100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011101000000011111110001111100101001000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000111010000000111111100011111001010010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001110100000001111111000111110010000100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011101000000011111110001111100100001000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000111000000000111111101011011100010010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001110000000001111111010110111000100100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011100000000011111110101101110000001000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000111000000000111111101011011100000010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001110000000001111111011110111000100100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011100000000011111110111101110001001000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000111000000000111111101111011100000010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001110000000001111111011110111000000100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011100000000011111110011101110001101000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000111000000000111111100111011100011010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001110000000001111111001110111000010100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011100000000011111110011101110000101000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000111000000000111111100011011100011010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001110000000001111111000110111000110100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011100000000011111110001101110000101000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000111000000000111111100011011100001010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001110111100110111001110111111110110100000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011101111001101110011101111111101101000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000111011110011011100111011111111001010000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001110111100110111001110111111110010100000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011101111001101110011110111000101101000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000111011110011011100111101110001011010000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001110111100110111001111011100010010100000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011101111001101110011110111000100101000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000111011110011011100110101110001010000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001110111100110111001101011100010100000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011101111001101110011010111000100000000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000111011110011011100110101110001000000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001110111100110111001100011100010100000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011101111001101110011000111000101000000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000111011110011011100110001110001000000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001110111100110111001100011100010000000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011101110001111111001100111000101000000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000111011100011111110011001110001010000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001110111000111111100110011100010000000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011101110001111111001100111000100000000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000111011100011111110011100000100010000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001110111000111111100111000001000100000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011101110001111111001110000010000000000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000111011100011111110011100000100000000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001110111000111111100101000001000110000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011101110001111111001010000010001100000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000111011100011111110010100000100001000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001110111000111111100101000001000010000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011101110001111111001000000010001100000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000111011100011111110010000000100011000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001110111000111111100100000001000010000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011101110001111111001000000010000100000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000111001100010000001011000000100011000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001110011000100000010110000001000110000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011100110001000000101100000010000100000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000111001100010000001011000000100001000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001110011000100000010111111010110110000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011100110001000000101111110101101100000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000111001100010000001011111101011001000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001110011000100000010111111010110010000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011100110001000000101011110101101010000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000111001100010000001010111101011010100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001110011000100000010101111010110001000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011100110001000000101011110101100010000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000111001100010000001010011101011010100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001110011000100000010100111010110101000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011100110001000000101001110101100010000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000111001100010000001010011101011000100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001110100000010111111010111111110101000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011101000000101111110101111111101010000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000111010000001011111101011111111000100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001110100000010111111010111111110001000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011101000000101111110111011110001010000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000111010000001011111101110111100010100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001110100000010111111011101111000001000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011101000000101111110111011110000010000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000111010000001011111100110111100011100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001110100000010111111001101111000111000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011101000000101111110011011110000110000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000111010000001011111100110111100001100000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001110100000010111111000101111000111000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011101000000101111110001011110001110000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000111010000001011111100010111100001100000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001110100000010111111000101111000011000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011101000000101111110100111000101110000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000111010000001011111101001110001011100000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001110100000010111111010011100010011000000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011101000000101111110100111000100110000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000111010000001011111101101110001011100000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001110100000010111111011011100010111000000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011101000000101111110110111000100110000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000111010000001011111101101110001001100000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001110100000010111111001011100010100100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011101000000101111110010111000101001000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000111010000001011111100101110001000010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001110100000010111111001011100010000100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011101000000101111110000111000101001000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000111010000001011111100001110001010010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001110100000010111111000011100010000100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011101000000101111110000111000100001000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000111000000001011111101010000001010010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001110000000010111111010100000010100100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011100000000101111110101000000100001000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000111000000001011111101010000001000010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001110000000010111111011100000010100100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011100000000101111110111000000101001000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000111000000001011111101110000001000010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001110000000010111111011100000010000100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011100000000101111110011000000101101000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000111000000001011111100110000001011010000000010011000100000110011000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001110000000010111111001100000010010100000000100110001000001100110000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011100000000101111110011000000100101000000001001100010000011001100000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000111000000001011111100010000001011010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001110000000010111111000100000010110100000000100110001000001100100000000000000000000000000000000011100000000000000101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011100000000101111110001000000100101000000001001100010000011001000000000000000000000000000000000111000000000000001010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000111000000001011111100010000001001010000000010011000100000110010000000000000000000000000000000001110000000000000010100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001110111000100000010110111111110110100000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011101110001000000101101111111101101000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000111011100010000001011011111111001010000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001110111000100000010110111111110010100000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011101110001000000101110111000101101000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000111011100010000001011101110001011010000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001110111000100000010111011100010010100000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011101110001000000101110111000100101000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000111011100010000001010101110001010000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001110111000100000010101011100010100000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011101110001000000101010111000100000000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000111011100010000001010101110001000000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001110111000100000010100011100010100000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011101110001000000101000111000101000000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000111011100010000001010001110001000000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001110111000100000010100011100010000000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011101110001110101101100111000101000000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000111011100011101011011001110001010000000000010011000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001110111000111010110110011100010000000000000100110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011101110001110101101100111000100000000000001001100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000111011100011101011011110110101010000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001110111000111010110111101101010100000000000100110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011101110001110101101111011010100000000000001001100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000111011100011101011011110110101000000000000010011000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001110111000111010110101101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011101110001110101101011011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000111011100011101011010110110101001000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001110111000111010110101101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011101110001110101101001011010101100000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000111011100011101011010010110101011000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001110111000111010110100101101010010000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011101110001110101101001011010100100000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000111001100010011011011010110101011000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001110011000100110110110101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011100110001001101101101011010100100000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000111001100010011011011010110101001000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001110011000100110110111101111000110000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011100110001001101101111011110001100000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000111001100010011011011110111100001000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001110011000100110110111101111000010000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011100110001001101101011011110001010000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000111001100010011011010110111100010100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001110011000100110110101101111000001000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011100110001001101101011011110000010000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000111001100010011011010010111100010100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001110011000100110110100101111000101000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011100110001001101101001011110000010000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000111001100010011011010010111100000100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001110111000100110110110101111000101000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011101110001001101101101011110001010000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000111011100010011011011010111100000100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001110111000100110110110101111000001000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011101110001001101101111111100101010000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000111011100010011011011111111001010100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001110111000100110110111111110010001000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011101110001001101101111111100100010000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000111011100010011011010111111001011100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001110111000100110110101111110010111000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011101110001001101101011111100100110000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000111011100010011011010111111001001100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001110111000100110110100111110010111000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011101110001001101101001111100101110000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000111011100010011011010011111001001100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001110111000100110110100111110010011000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011101111001011110011101111100101110000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000111011110010111100111011111001011100001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001110111100101111001110111110010011000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011101111001011110011101111100100110000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000111011110010111100111110000000011100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001110111100101111001111100000000111000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011101111001011110011111000000000110000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000111011110010111100111110000000001100001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001110111100101111001101100000000100000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011101111001011110011011000000001000000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000111011110010111100110110000000000000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001110111100101111001101100000000000000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011101111001011110011001000000001000000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000111011110010111100110010000000010000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001110111100101111001100100000000000000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011101111001011110011001000000000000000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000111001100011011011011010000000010000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001110011000110110110110100000000100000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011100110001101101101101000000000000000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000111001100011011011011010000000000000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001110011000110110110111000010110100000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011100110001101101101110000101101000000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000111001100011011011011100001011000000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001110011000110110110111000010110000000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011100110001101101101010000101101100000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000111001100011011011010100001011011000000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001110011000110110110101000010110010000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011100110001101101101010000101100100000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000111001100011011011010000001011011000000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001110011000110110110100000010110110000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011100110001101101101000000101100100000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000111001100011011011010000001011001000000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001110111000110110110110000010110110000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011101110001101101101100000101101100000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000111011100011011011011000001011001000000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001110111000110110110110000010110010000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011101110001101101101110100000001100000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000111011100011011011011101000000011000000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001110111000110110110111010000000010000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011101110001101101101110100000000100000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000111011100011011011010101000000010100000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001110111000110110110101010000000101000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011101110001101101101010100000000010000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000111011100011011011010101000000000100000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001110111000110110110100010000000101000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011101110001101101101000100000001010000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000111011100011011011010001000000000100000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001110111000110110110100010000000001000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011100110001111101101100100000001010000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000111001100011111011011001000000010100000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001110011000111110110110010000000001000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011100110001111101101100100000000010000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000111001100011111011011100000110010100000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001110011000111110110111000001100101000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011100110001111101101110000011000010000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000111001100011111011011100000110000100000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001110011000111110110101000001100100000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011100110001111101101010000011001000000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000111001100011111011010100000110000000000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001110011000111110110101000001100000000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011100110001111101101000000011001000000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000111001100011111011010000000110010000000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001110011000111110110100000001100000000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011100110001111101101000000011000000000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000111001100011111011011000000110010000000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001110011000111110110110000001100100000000001011110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011100110001111101101100000011000000000000010111100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000111001100011111011011000000110000000000000101111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001110011000111110110111000001100100000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011100110001111101101110000011001000000000010111100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000111001100011111011011100000110000000000000101111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001110011000111110110111000001100000000000001011110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011100110001111101101010000011001100000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000111001100011111011010100000110011000000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001110011000111110110101000001100010000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011100110001111101101010000011000100000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000111001100011111011010000000110011000000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001110011000111110110100000001100110000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011100110001111101101000000011000100000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000111001100011111011010000000110001000000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001110100000000111111010111111110110000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011101000000001111110101111111101100000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000111010000000011111101011111111001000000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001110100000000111111010111111110010000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011101000000001111110110111000101100000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000111010000000011111101101110001011000000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001110100000000111111011011100010010000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011101000000001111110110111000100100000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000111010000000011111100101110001010100000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001110100000000111111001011100010101000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011101000000001111110010111000100010000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000111010000000011111100101110001000100000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001110100000000111111000011100010101000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011101000000001111110000111000101010000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000111010000000011111100001110001000100000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001110100000000111111000011100010001000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011100000000101111110100111000101010000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000111000000001011111101001110001010100000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001110000000010111111010011100010001000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011100000000101111110100111000100010000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000111000000001011111101110000001010100000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001110000000010111111011100000010101000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011100000000101111110111000000100010000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000111000000001011111101110000001000100000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001110000000010111111001100000010111000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011100000000101111110011000000101110000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000111000000001011111100110000001001100000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001110000000010111111001100000010011000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011100000000101111110001000000101110000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000111000000001011111100010000001011100000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001110000000010111111000100000010011000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011100000000101111110001000000100110000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000111010000001011111101010000001011100000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001110100000010111111010100000010111000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011101000000101111110101000000100110000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000111010000001011111101010000001001100000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001110100000010111111011011100010111000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011101000000101111110110111000101110000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000111010000001011111101101110001001100000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001110100000010111111011011100010011000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011101000000101111110010111000101001000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000111010000001011111100101110001010010000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001110100000010111111001011100010000100000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011101000000101111110010111000100001000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000111010000001011111100001110001010010000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001110100000010111111000011100010100100000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011101000000101111110000111000100001000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000111010000001011111100001110001000010000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001110111000110111110110111111110100100000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011101110001101111101101111111101001000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000111011100011011111011011111111000010000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001110111000110111110110111111110000100000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011101110001101111101110000000001001000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000111011100011011111011100000000010010000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001110111000110111110111000000000000100000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011101110001101111101110000000000001000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000111011100011011111010100000000011010000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001110111000110111110101000000000110100000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011101110001101111101010000000000101000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000111011100011011111010100000000001010000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001110111000110111110100000000000110100000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011101110001101111101000000000001101000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000111011100011011111010000000000001010000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001110111000110111110100000000000010100000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011101110001000000101100000000001101000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000111011100010000001011000000000011010000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001110111000100000010110000000000010100000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011101110001000000101100000000000101000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000111011100010000001011101110001011010000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001110111000100000010111011100010110100000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011101110001000000101110111000100101000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000111011100010000001011101110001001010000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001110111000100000010101011100010100000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011101110001000000101010111000101000000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000111011100010000001010101110001000000000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001110111000100000010101011100010000000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011101110001000000101000111000101000000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000111011100010000001010001110001010000000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001110111000100000010100011100010000000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011101110001000000101000111000100000000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000111001100011000001011001110001010000000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001110011000110000010110011100010100000000000110110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011100110001100000101100111000100000000000001101100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000111001100011000001011001110001000000000000011011000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001110011000110000010111101101010100000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011100110001100000101111011010101000000000001101100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000111001100011000001011110110101000000000000011011000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001110011000110000010111101101010000000000000110110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011100110001100000101011011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000111001100011000001010110110101011000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001110011000110000010101101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011100110001100000101011011010100100000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000111001100011000001010010110101011000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001110011000110000010100101101010110000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011100110001100000101001011010100100000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000111001100011000001010010110101001000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001110111000110000010110101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011101110001100000101101011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000111011100011000001011010110101001000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001110111000110000010110101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011101110001100000101110000000001100000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000111011100011000001011100000000011000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001110111000110000010111000000000010000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011101110001100000101110000000000100000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000111011100011000001010100000000010100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001110111000110000010101000000000101000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011101110001100000101010000000000010000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000111011100011000001010100000000000100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001110111000110000010100000000000101000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011101110001100000101000000000001010000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000111011100011000001010000000000000100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001110111000110000010100000000000001000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011100110001010000101100000000001010000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000111001100010100001011000000000010100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001110011000101000010110000000000001000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011100110001010000101100000000000010000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000111001100010100001011111000000010100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001110011000101000010111110000000101000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011100110001010000101111100000000010000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000111001100010100001011111000000000100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001110011000101000010101110000000111000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011100110001010000101011100000001110000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000111001100010100001010111000000001100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001110011000101000010101110000000011000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011100110001010000101001100000001110000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000111001100010100001010011000000011100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001110011000101000010100110000000011000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011100110001010000101001100000000110000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000111000000000000000111011111111011100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001110000000000000001110111111110111000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011100000000000000011101111111100110000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000111000000000000000111011111111001100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001110000000000000001111000001000111000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011100000000000000011110000010001110000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000111000000000000000111100000100001100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001110000000000000001111000001000011000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011100000000000000011010000010001000000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000111000000000000000110100000100010000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001110000000000000001101000001000000000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011100000000000000011010000010000000000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000111000000000000000110000000100010000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001110000000000000001100000001000100000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011100000000000000011000000010000000000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000111000000000000000110000000100000000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001110111000101000010110111111110100000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011101110001010000101101111111101000000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000111011100010100001011011111111000000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001110111000101000010110111111110000000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011101110001010000101111011000101000000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000111011100010100001011110110001010000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001110111000101000010111101100010000000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011101110001010000101111011000100000000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000111011100010100001010110110001011000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001110111000101000010101101100010110000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011101110001010000101011011000100100000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000111011100010100001010110110001001000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001110111000101000010100101100010110000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011101110001010000101001011000101100000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000111011100010100001010010110001001000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001110111000101000010100101100010010000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011100110001110000101101011000101100000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000111001100011100001011010110001011000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001110011000111000010110101100010010000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011100110001110000101101011000100100000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000111001100011100001011101100000011000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001110011000111000010111011000000110000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011100110001110000101110110000000100000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000010000111001100011100001011101100000001000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000100001110011000111000010101011000000101000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000001000011100110001110000101010110000001010000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000010000111001100011100001010101100000000100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000100001110011000111000010101011000000001000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000001000011100110001110000101000110000001010000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000000000000000000000000001000000000010000111001100011100001010001100000010100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000000000000000000000000010000000000100001110011000111000010100011000000001000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000100000000001000011100110001110000101000110000000010000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000010000111011100011100001011001100000010100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000100001110111000111000010110011000000101000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000001000011101110001110000101100110000000010000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000010000111011100011100001011001100000000100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000100001110111000111000010111000001000101000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000001000011101110001110000101110000010001010000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000010000111011100011100001011100000100000100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000100001110111000111000010111000001000001000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000001000011101110001110000101010000010001110000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000010000111011100011100001010100000100011100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000100001110111000111000010101000001000011000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000001000011101110001110000101010000010000110000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000010000111011100011100001010000000100011100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000000000000000000000000010000000000100001110111000111000010100000001000111000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000000000000000000000000000100000000001000011101110001110000101000000010000110000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000000000000000000000000001000000000010000111011100011100001010000000100001100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000100001110000010011000000010000001000111000010110001110001000001100010000000000000000000000000000000011100000000000000101001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000001000011100000100110000000100000010001110000101100011100010000011000100000000000000000000000000000000111000000000000001010010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000010000111000001001100000001000000100001100001011000111000100000110001000000000000000000000000000000001110000000000000010100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000100001110000010011000000010000001000011000010110001110001000001100010000000000000000000000000000000011100000000000000101001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000001000011100000100110000000110000010001110000101100011100010000011000000000000000000000000000000000000111000000000000001010010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000010000111000001001100000001100000100011100001011000111000100000110000000000000000000000000000000000001110000000000000010100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000100001110000010011000000011000001000011000010110001110001000001100000000000000000000000000000000000011100000000000000101001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000001000011100000100110000000110000010000110000101100011100010000011000000000000000000000000000000000000111000000000000001010010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000010000111000001001100000000100000100010000001011000111000100000110001000000000000000000000000000000001110000000000000010100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000100001110000010011000000001000001000100000010110001110001000001100010000000000000000000000000000000011100000000000000101001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000001000011100000100110000000010000010000000000101100011100010000011000100000000000000000000000000000000111000000000000001010010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000010000111000001001100000000100000100000000001011000111000100000110001000000000000000000000000000000001110000000000000010100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000100001110000010011000000000000001000100000010110001110001000001100000000000000000000000000000000000011100000000000000101001001000100000110011111111000001101111111111111000011000010010000000100000000000000000000000000000000100000000001000011100000100110000000000000010001000000101100011100010000011000000000000000000000000000000000000111000000000000001010010010001000001100111111110000011011111111111110000110000100100000001000000000000000000000000000000001000000000010000111000001001100000000000000100000000001011000111000100000110000000000000000000000000000000000001110000000000000010100100100010000011001111111100000110111111111111100001100001001000000010000000000000000000000000000000010000000000100001110000010011000000000000001000000000010110001110001000001100000000000000000000000000000000000011100000000000000101001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000001000011100110001001000101101111111101000000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000010000111001100010010001011011111111010000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000100001110011000100100010110111111110000000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000001000011100110001001000101101111111100000000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000010000111001100010010001011110110101010000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000100001110011000100100010111101101010100000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000001000011100110001001000101111011010100000000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000010000111001100010010001011110110101000000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000100001110011000100100010101101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000001000011100110001001000101011011010101100000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000010000111001100010010001010110110101001000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000100001110011000100100010101101101010010000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000001000011100110001001000101001011010101100000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000000000000000010000000000000000000001000000000010000111001100010010001010010110101011000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000000000000000100000000000000000000010000000000100001110011000100100010100101101010010000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000000000000001000000000000000000000100000000001000011100110001001000101001011010100100000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000010000111011100010010001011010110101011000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000100001110111000100100010110101101010110000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000001000011101110001001000101101011010100100000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000010000111011100010010001011010110101001000001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000100001110111000100100010111100000000110000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000001000011101110001001000101111000000001100000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000010000111011100010010001011110000000001000001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000100001110111000100100010111100000000010000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000001000011101110001001000101011000000001010000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000010000111011100010010001010110000000010100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000100001110111000100100010101100000000001000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000001000011101110001001000101011000000000010000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000010000111011100010010001010010000000010100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000100001110111000100100010100100000000101000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000001000011101110001001000101001000000000010000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000010000111011100010010001010010000000000100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000100001110011000110100010110100000000101000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000001000011100110001101000101101000000001010000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000010000111001100011010001011010000000000100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000100001110011000110100010110100000000001000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000001000011100110001101000101111100000001010000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000010000111001100011010001011111000000010100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000100001110011000110100010111110000000001000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000001000011100110001101000101111100000000010000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000010000111001100011010001010111000000011100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000100001110011000110100010101110000000111000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000001000011100110001101000101011100000000110000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000010000111001100011010001010111000000001100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000100001110011000110100010100110000000111000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000000010000000100000000001000000000000000000000100000000001000011100110001101000101001100000001110000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000000100000001000000000010000000000000000000001000000000010000111001100011010001010011000000001100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000100001110011000110100010100110000000011000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000001000011101000000000000011101111111101110000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000010000111010000000000000111011111111011100001011010111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000100001110100000000000001110111111110011000010110101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000001000011101000000000000011101111111100110000101101011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000010000111010000000000000111110000011011100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000100001110100000000000001111100000110111000010110101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000001000011101000000000000011111000001100110000101101011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000010000111010000000000000111110000011001100001011010111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000100001110100000000000001101100000110100000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000001000011101000000000000011011000001101000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000010000111010000000000000110110000011000000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000100001110100000000000001101100000110000000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000001000011101000000000000011001000001101000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000010000111010000000000000110010000011010000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000100001110100000000000001100100000110000000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000001000011101000000000000011001000001100000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000010000111011100011010001011011111111010000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000100001110111000110100010110111111110100000010110101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000001000011101110001101000101101111111100000000101101011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000010000111011100011010001011011111111000000001011010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000100001110111000110100010111101100010100000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000001000011101110001101000101111011000101000000101101011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000010000111011100011010001011110110001000000001011010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000100001110111000110100010111101100010000000010110101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000001000011101110001101000101011011000101100000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000010000111011100011010001010110110001011000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000100001110111000110100010101101100010010000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000001000011101110001101000101011011000100100000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000010000111011100011010001010010110001011000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000100001110111000110100010100101100010110000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000001000011101110001101000101001011000100100000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000010000111011100011010001010010110001001000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100001110011000101100010110101100010110000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000001000011100110001011000101101011000101100000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000010000111001100010110001011010110001001000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100001110011000101100010110101100010010000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000001000011100110001011000101110110000001100000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000010000111001100010110001011101100000011000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100001110011000101100010111011000000010000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000001000011100110001011000101110110000000100000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000010000111001100010110001010101100000010100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100001110011000101100010101011000000101000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000001000011100110001011000101010110000000010000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000010000111001100010110001010101100000000100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100001110011000101100010100011000000101000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000001000011100110001011000101000110000001010000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000010000111001100010110001010001100000000100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100001110011000101100010100011000000001000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000011101110001011000101100110000001010000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000111011100010110001011001100000010100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100001110111000101100010110011000000001000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000011101110001011000101100110000000010000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000111011100010110001011100000100010100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100001110111000101100010111000001000101000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000011101110001011000101110000010000010000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000111011100010110001011100000100000100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100001110111000101100010101000001000111000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000011101110001011000101010000010001110000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000111011100010110001010100000100001100001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100001110111000101100010101000001000011000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000011101110001011000101000000010001110000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000111011100010110001010000000100011100001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100001110111000101100010100000001000011000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000011101110001011000101000000010000110000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000111000001001100000001010000011011100001011000111000100000110001000000000000000000000000000000001110000000000000010100101100010000011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100001110000010011000000010100000110111000010110001110001000001100010000000000000000000000000000000011100000000000000101001011000100000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000011100000100110000000101000001100110000101100011100010000011000100000000000000000000000000000000111000000000000001010010110001000001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000111000001001100000001010000011001100001011000111000100000110001000000000000000000000000000000001110000000000000010100101100010000011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100001110000010011000000011100000110111000010110001110001000001100000000000000000000000000000000000011100000000000000101001011000100000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000011100000100110000000111000001101110000101100011100010000011000000000000000000000000000000000000111000000000000001010010110001000001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000111000001001100000001110000011001100001011000111000100000110000000000000000000000000000000000001110000000000000010100101100010000011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100001110000010011000000011100000110011000010110001110001000001100000000000000000000000000000000000011100000000000000101001011000100000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000011100000100110000000011000001101000000101100011100010000011000100000000000000000000000000000000111000000000000001010010110001000001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000111000001001100000000110000011010000001011000111000100000110001000000000000000000000000000000001110000000000000010100101100010000011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100001110000010011000000001100000110000000010110001110001000001100010000000000000000000000000000000011100000000000000101001011000100000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000011100000100110000000011000001100000000101100011100010000011000100000000000000000000000000000000111000000000000001010010110001000001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000111000001001100000000010000011010000001011000111000100000110000000000000000000000000000000000001110000000000000010100101100010000011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100001110000010011000000000100000110100000010110001110001000001100000000000000000000000000000000000011100000000000000101001011000100000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000011100000100110000000001000001100000000101100011100010000011000000000000000000000000000000000000111000000000000001010010110001000001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000111000001001100000000010000011000000001011000111000100000110000000000000000000000000000000000001110000000000000010100101100010000011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100001110011000111100010110111111110100000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000011100110001111000101101111111101000000101100011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000111001100011110001011011111111000000001011000111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100001110011000111100010110111111110000000010110001110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000011100110001111000101110000010101000000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000111001100011110001011100000101010000001011000111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100001110011000111100010111000001010000000010110001110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000011100110001111000101110000010100000000101100011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000111001100011110001010100000101011000000000010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100001110011000111100010101000001010110000000000101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000011100110001111000101010000010100100000000001011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000111001100011110001010100000101001000000000010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100001110011000111100010100000001010110000000000101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000011100110001111000101000000010101100000000001011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000111001100011110001010000000101001000000000010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100001110011000111100010100000001010010000000000101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000011101110001111000101100000010101100000000001011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000111011100011110001011000000101011000000000010111000100000110011000000000000000000000000000000001110000000000000110100101100010000011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000100001110111000111100010110000001010010000000000101110001000001100110000000000000000000000000000000011100000000000001101001011000100000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000011101110001111000101100000010100100000000001011100010000011001100000000000000000000000000000000111000000000000011010010110001000001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000111011100011110001011100000000011000000000010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001110111000111100010111000000000110000000000101110001000001100100000000000000000000000000000000011100000000000001101001011000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011101110001111000101110000000000100000000001011100010000011001000000000000000000000000000000000111000000000000011010010110001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000111011100011110001011100000000001000000000010111000100000110010000000000000000000000000000000001110000000000000110100101100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001110111000111100010101000000000100000000000101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011101110001111000101010000000001000000000001011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000111011100011110001010100000000000000000000010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001110111000111100010101000000000000000000000101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011101110001111000101000000000001000000000001011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000111011100011110001010000000000010000000000010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001110111000111100010100000000000000000000000101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011101110001111000101000000000000000000000001011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000111001100010001001011000000000010000000000010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001110011000100010010110000000000100000000000101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011100110001000100101100000000000000000000001011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000111001100010001001011000000000000000000000010111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001110011000100010010111100011010100000000000101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011100110001000100101111000110101000000000001011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000111001100010001001011110001101000000000000010111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001110011000100010010111100011010000000000000101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011100110001000100101011000110101100000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000111001100010001001010110001101011000001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001110011000100010010101100011010010000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011100110001000100101011000110100100000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000111001100010001001010010001101011000001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001110011000100010010100100011010110000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011100110001000100101001000110100100000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000111001100010001001010010001101001000001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001110111000100010010110100011010110000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011101110001000100101101000110101100000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000111011100010001001011010001101001000001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001110111000100010010110100011010010000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011101110001000100101110000000001100000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000111011100010001001011100000000011000001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001110111000100010010111000000000010000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011101110001000100101110000000000100000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000111011100010001001010100000000010100001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001110111000100010010101000000000101000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011101110001000100101010000000000010000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000111011100010001001010100000000000100001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001110111000100010010100000000000101000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011101110001000100101000000000001010000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000111011100010001001010000000000000100001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001110111000100010010100000000000001000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000010000000000000000101111111101010000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000000100000000000000001011111111010100001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000001000000000000000010111111110001000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000010000000000000000101111111100010000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000000100000000000000001110011001010100001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000001000000000000000011100110010101000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000010000000000000000111001100100010000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000000100000000000000001110011001000100001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000001000000000000000001100110010111000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000010000000000000000011001100101110000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000000100000000000000000110011001001100001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000001000000000000000001100110010011000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000010000000000000000001001100101110000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000000100000000000000000010011001011100001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000001000000000000000000100110010011000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000010000000000000000001001100100110000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000000110000000000000001010011001011100001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000001100000000000000010100110010111000010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000011000000000000000101001100100110000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000000110000000000000001010011001001100001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000001100000000000000011111100010111000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000011000000000000000111111000101110000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000000110000000000000001111110001001100001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000001100000000000000011111100010011000010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000011000000000000000011111000101001000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000000110000000000000000111110001010010001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000001100000000000000001111100010000100010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000011000000000000000011111000100001000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000000110000000000000000011110001010010001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000001100000000000000000111100010100100010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000011000000000000000001111000100001000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000000110000000000000000011110001000010001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000001111000100110011110111111110100100010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000011110001001100111101111111101001000100011011100010000011001100000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000000111100010011001111011111111000010001000110111000100000110011000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000001111000100110011110111111110000100010001101110001000001100110000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000011110001001100111111000011001001000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000000111100010011001111110000110010010001000110111000100000110010000000000000000000000000000000001110000000000000111100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000001111000100110011111100001100000100010001101110001000001100100000000000000000000000000000000011100000000000001111001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000011110001001100111111000011000001000100011011100010000011001000000000000000000000000000000000111000000000000011110010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000000111100010011001110110000110010000001000110111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000001111000100110011101100001100100000010001101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000011110001001100111011000011000000000100011011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000000111100010011001110110000110000000001000110111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000001111000100110011100100001100100000010001101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000011110001001100111001000011001000000100011011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000000111100010011001110010000110000000001000110111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000001111000100110011100100001100000000010001101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000010110001100100101101000011001000000100011011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000000101100011001001011010000110010000001000110111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000001011000110010010110100001100000000010001101110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000010110001100100101101000011000000000100011011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000000101100011001001011110110001010000001000110111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000001011000110010010111101100010100000010001101110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000010110001100100101111011000100000000100011011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000000101100011001001011110110001000000001000110111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000001011000110010010101101100010110000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000010110001100100101011011000101100000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000000101100011001001010110110001001000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000001011000110010010101101100010010000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000010110001100100101001011000101100000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000000101100011001001010010110001011000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000001011000110010010100101100010010000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000010110001100100101001011000100100000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000000111100011001001011010110001011000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000001111000110010010110101100010110000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000011110001100100101101011000100100000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000000111100011001001011010110001001000001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000001111000110010010111111000000110000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000011110001100100101111110000001100000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000000111100011001001011111100000001000001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000001111000110010010111111000000010000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000011110001100100101011110000001010000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000000111100011001001010111100000010100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000001111000110010010101111000000001000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000011110001100100101011110000000010000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000000111100011001001010011100000010100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000001111000110010010100111000000101000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000011110001100100101001110000000010000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000000111100011001001010011100000000100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000001011000101010010110111000000101000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000010110001010100101101110000001010000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000000101100010101001011011100000000100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000001011000101010010110111000000001000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000010110001010100101110000010001010000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000000101100010101001011100000100010100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000001011000101010010111000001000001000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000010110001010100101110000010000010000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000000101100010101001010100000100011100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000001011000101010010101000001000111000010110001110001000001100110000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000010110001010100101010000010000110000101100011100010000011001100000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000000101100010101001010100000100001100001011000111000100000110011000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000001011000101010010100000001000111000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000010110001010100101000000010001110000101100011100010000011001000000000000000000000000000000000111000000000000011010010010001000001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000000101100010101001010000000100001100001011000111000100000110010000000000000000000000000000000001110000000000000110100100100010000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000001011000101010010100000001000011000010110001110001000001100100000000000000000000000000000000011100000000000001101001001000100000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000011000100110000000101000011001110000101100011100010000011000100000000000000000000000000000000110000000000000001010010010001000001100100001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000000110001001100000001010000110011100001011000111000100000110001000000000000000000000000000000001100000000000000010100100100010000011001000011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000001100010011000000010100001100011000010110001110001000001100010000000000000000000000000000000011000000000000000101001001000100000110010000110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000011000100110000000101000011000110000101100011100010000011000100000000000000000000000000000000110000000000000001010010010001000001100100001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000000110001001100000001110000110011100001011000111000100000110000000000001000011000000000000000001000000000000000010100100100010000011001000011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000001100010011000000011100001100111000010110001110001000001100000000000010000110000000000000000010000000000000000101001001000100000110010000110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000011000100110000000111000011000110000101100011100010000011000000000000100001100000000000000000100000000000000001010010010001000001100100001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000000110001001100000001110000110001100001011000111000100000110000000000001000011000000000000000001000000000000000010100100100010000011001000011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000001100010011000000001100001100100000010110001110001000001100010000000010000110000000000000000010000000000000000101001001000100000110010000110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000011000100110000000011000011001000000101100011100010000011000100000000100001100000000000000000100000000000000001010010010001000001100100001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000000110001001100000000110000110000000001011000111000100000110001000000001000011000000000000000001000000000000000010100100100010000011001000011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000001100010011000000001100001100000000010110001110001000001100010000000010000110000000000000000010000000000000000101001001000100000110010000110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000011000100110000000001000011001000000101100011100010000011000000000000100001100000000000000000100000000000000001010010010001000001100100001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000000110001001100000000010000110010000001011000111000100000110000000000001000011000000000000000001000000000000000010100100100010000011001000011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000001100010011000000000100001100000000010110001110001000001100000000000010000110000000000000000010000000000000000101001001000100000110010000110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000011000100110000000001000011000000000101100011100010000011000000000000100001100000000000000000100000000000000001010010010001000001100100001100000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000000111100010101001011011111111010000001011000111000100000110011000000001000011000000000000000001010000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000001111000101010010110111111110100000010110001110001000001100110000000010000110000000000000000010100000000000001101001001000100000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000011110001010100101101111111100000000101100011100010000011001100000000100001100000000000000000101000000000000011010010010001000001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000000111100010101001011011111111000000001011000111000100000110011000000001000011000000000000000001010000000000000110100100100010000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000001111000101010010111000011110100000010110001100001100001100100000000010000110000000000000000001100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000011110001010100101110000111101000000101100011000011000011001000000000100001100000000000000000011000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000000111100010101001011100001111000000001011000110000110000110010000000001000011000000000000000000110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000001111000101010010111000011110000000010110001100001100001100100000000010000110000000000000000001100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000011110001010100101010000111101100000000011111000011000011001100000000100001100000000000000000011000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000000111100010101001010100001111011000000000111110000110000110011000000001000011000000000000000000110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000001111000101010010101000011110010000000001111100001100001100110000000010000110000000000000000001100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000011110001010100101010000111100100000000011111000011000011001100000000100001100000000000000000011000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000000111100010101001010000001111011000000000111110000110000110010000000001000011000000000000000000110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000001111000101010010100000011110110000000001111100001100001100100000000010000110000000000000000001100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000011110001010100101000000111100100000000011111000011000011001000000000100001100000000000000000011000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000000111100010101001010000001111001000000000111110000110000110010000000001000011000000000000000000110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000001011000111010010110000011110110000000001111100001100001100110000000010000110000000000000000001100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000010110001110100101100000111101100000000011111000011000011001100000000100001100000000000000000011000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000000101100011101001011000001111001000000000111110000110000110011000000001000011000000000000000000110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000001011000111010010110000011110010000000001111100001100001100110000000010000110000000000000000001100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000010110001110100101110010000001100000000011111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000000101100011101001011100100000011000000000111110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000001011000111010010111001000000010000000001111100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000010110001110100101110010000000100000000011111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000000101100011101001010100100000010000000000111110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000001011000111010010101001000000100000000001111100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000010110001110100101010010000000000000000011111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000000101100011101001010100100000000000000000111110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000001011000111010010100001000000100000000001111100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000010110001110100101000010000001000000000011111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000000101100011101001010000100000000000000000111110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000001011000111010010100001000000000000000001111100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000011110001110100101100010000001000000000011111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000000111100011101001011000100000010000000000111110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000001111000111010010110001000000000000000001111100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000011110001110100101100010000000000000000011111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000000111100011101001011100010011010000000000111110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000001111000111010010111000100110100000000001111100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000011110001110100101110001001100000000000011111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000000111100011101001011100010011000000000000111110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000001111000111010010101000100110110000000010011100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000011110001110100101010001001101100000000100111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000000111100011101001010100010011001000000001001110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000001111000111010010101000100110010000000010011100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000011110001110100101000001001101100000000100111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000000111100011101001010000010011011000000001001110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000001111000111010010100000100110010000000010011100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000011110001110100101000001001100100000000100111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000000111100011011111011000010011011000000001001110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000001111000110111110110000100110110000000010011100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000011110001101111101100001001100100000000100111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000000111100011011111011000010011001000000001001110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000001111000110111110111000000000110000000010011100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000011110001101111101110000000001100000000100111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000000111100011011111011100000000001000000001001110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000001111000110111110111000000000010000000010011100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000011110001101111101010000000001000000000100111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000000111100011011111010100000000010000000001001110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000001111000110111110101000000000000000000010011100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000011110001101111101010000000000000000000100111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000000111100011011111010000000000010000000001001110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000001111000110111110100000000000100000000010011100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000011110001101111101000000000000000000000100111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000000111100011011111010000000000000000000001001110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000001011000100110010110000000000100000000010011100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000010110001001100101100000000001000000000100111000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000000101100010011001011000000000000000000001001110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000001011000100110010110000000000000000000010011100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000010110001001100101110011001001000000000100111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000000101100010011001011100110010010000000001001110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000001011000100110010111001100100000000000010011100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000010110001001100101110011001000000000000100111000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000000101100010011001010100110010011000000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000001011000100110010101001100100110000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000010110001001100101010011001000100000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000000101100010011001010100110010001000000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000001011000100110010100001100100110000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000010110001001100101000011001001100000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000000101100010011001010000110010001000000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000001011000100110010100001100100010000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000011110001001100101100011001001100000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000000111100010011001011000110010011000000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000001111000100110010110001100100010000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000011110001001100101100011001000100000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000000111100010011001011100001001011000000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000001111000100110010111000010010110000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000011110001001100101110000100100100000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000000111100010011001011100001001001000000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000001111000100110010101000010010101000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000011110001001100101010000100101010000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000000111100010011001010100001001000100000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000001111000100110010101000010010001000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000011110001001100101000000100101010000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000000111100010011001010000001001010100000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000001111000100110010100000010010001000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000011110001001100101000000100100010000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000000101100011011001011000001001010100000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000001011000110110010110000010010101000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000010110001101100101100000100100010000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000000101100011011001011000001001000100000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000001011000110110010111011100010101000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000010110001101100101110111000101010000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000000101100011011001011101110001000100000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000001011000110110010111011100010001000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000010110001101100101010111000101000000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000000101100011011001010101110001010000000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000001011000110110010101011100010000000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000010110001101100101010111000100000000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000000101100011011001010001110001010000000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000001011000110110010100011100010100000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000010110001101100101000111000100000000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000000101100011011001010001110001000000000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000001011000100010010110011100010100000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000010110001000100101100111000101000000001100101000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000000101100010001001011001110001000000000011001010000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000001011000100010010110011100010000000000110010100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000010110001000100101111000110101000000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000000101100010001001011110001101010000000011001010000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000001011000100010010111100011010000000000110010100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000010110001000100101111000110100000000001100101000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000000101100010001001010110001101011000001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000001011000100010010101100011010110000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000010110001000100101011000110100100000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000000101100010001001010110001101001000001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000001011000100010010100100011010110000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000010110001000100101001000110101100000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000000101100010001001010010001101001000001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000001011000100010010100100011010010000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000011110001000100101101000110101100000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000000111100010001001011010001101011000001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000001111000100010010110100011010010000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000011110001000100101101000110100100000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000000111100010001001011100000000011000001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000001111000100010010111000000000110000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000011110001000100101110000000000100000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000000111100010001001011100000000001000001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000001111000100010010101000000000101000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000011110001000100101010000000001010000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000000111100010001001010100000000000100001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000001111000100010010101000000000001000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000011110001000100101000000000001010000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000000111100010001001010000000000010100001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000001111000100010010100000000000001000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000011110001000100101000000000000010000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000000100000000000000001011111111010100001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000001000000000000000010111111110101000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000010000000000000000101111111100010000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000000100000000000000001011111111000100001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000001000000000000000011100110010101000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000010000000000000000111001100101010000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000000100000000000000001110011001000100001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000001000000000000000011100110010001000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000010000000000000000011001100101110000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000000100000000000000000110011001011100001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000001000000000000000001100110010011000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000010000000000000000011001100100110000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000000100000000000000000010011001011100001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000001000000000000000000100110010111000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000010000000000000000001001100100110000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000000100000000000000000010011001001100001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000001100000000000000010100110010111000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000011000000000000000101001100101110000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000000110000000000000001010011001001100001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000001100000000000000010100110010011000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000011000000000000000111111000101110000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000000110000000000000001111110001011100001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000001100000000000000011111100010011000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000011000000000000000111111000100110000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000000110000000000000000111110001010010001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000001100000000000000001111100010100100010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000011000000000000000011111000100001000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000000110000000000000000111110001000010001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000001100000000000000000111100010100100010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000011000000000000000001111000101001000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000000110000000000000000011110001000010001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000001100000000000000000111100010000100010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000010110001101100111101111111101001000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000000101100011011001111011111111010010001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000001011000110110011110111111110000100010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000010110001101100111101111111100001000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000000101100011011001111100100110010010001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000001011000110110011111001001100100100010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000010110001101100111110010011000001000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000000101100011011001111100100110000010001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000001011000110110011101001001100100000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000010110001101100111010010011001000000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000000101100011011001110100100110000000001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000001011000110110011101001001100000000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000010110001101100111000010011001000000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000000101100011011001110000100110010000001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000001011000110110011100001001100000000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000010110001101100111000010011000000000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000000101100011001001011000100110010000001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000001011000110010010110001001100100000010001101100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000010110001100100101100010011000000000100011011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000000101100011001001011000100110000000001000110110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000001011000110010010111101100010100000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000010110001100100101111011000101000000100011011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000000101100011001001011110110001000000001000110110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000001011000110010010111101100010000000010001101100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000010110001100100101011011000101100000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000000101100011001001010110110001011000001011000110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000001011000110010010101101100010010000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000010110001100100101011011000100100000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000000101100011001001010010110001011000001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000001011000110010010100101100010110000010110001100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000010110001100100101001011000100100000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000000101100011001001010010110001001000001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000001111000110010010110101100010110000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000011110001100100101101011000101100000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000000111100011001001011010110001001000001011000110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000001111000110010010110101100010010000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000011110001100100101111110000001100000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000000111100011001001011111100000011000001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000001111000110010010111111000000010000010110001100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000011110001100100101111110000000100000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000000111100011001001010111100000010100001011000110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000001111000110010010101111000000101000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000011110001100100101011110000000010000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000000111100011001001010111100000000100001011000110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000001111000110010010100111000000101000010110001100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000011110001100100101001110000001010000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000000111100011001001010011100000000100001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000001111000110010010100111000000001000010110001100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000010110001010100101101110000001010000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000000101100010101001011011100000010100001011000110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000001011000101010010110111000000001000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000010110001010100101101110000000010000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000000101100010101001011100000100010100001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000001011000101010010111000001000101000010110001100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000010110001010100101110000010000010000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000000101100010101001011100000100000100001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000001011000101010010101000001000111000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000010110001010100101010000010001110000101100011000011000011001100000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000000101100010101001010100000100001100001011000110000110000110011000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000001011000101010010101000001000011000010110001100001100001100110000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000010110001010100101000000010001110000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000000101100010101001010000000100011100001011000110000110000110010000000001000011000000000000000001110000000000000110100100000011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000001011000101010010100000001000011000010110001100001100001100100000000010000110000000000000000011100000000000001101001000000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000010110001010100101000000010000110000101100011000011000011001000000000100001100000000000000000111000000000000011010010000001100001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000000110001001100000001000100110011100001011000110000110000110001000000001000011000000000000000001100000000000000010100100000011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000001100010011000000010001001100111000010110001100001100001100010000000010000110000000000000000011000000000000000101001000000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000011000100110000000100010011000110000101100011000011000011000100000000100001100000000000000000110000000000000001010010000001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000000110001001100000001000100110001100001011000110000110000110001000000001000011000000000000000001100000000000000010100100000011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000001100010011000000011001001100111000010110001100001100001100000000000000100110000000000000000010000000000000000101001000000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000011000100110000000110010011001110000101100011000011000011000000000000001001100000000000000000100000000000000001010010000001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000000110001001100000001100100110001100001011000110000110000110000000000000010011000000000000000001000000000000000010100100000011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000001100010011000000011001001100011000010110001100001100001100000000000000100110000000000000000010000000000000000101001000000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000011000100110000000010010011001000000101100011000011000011000100000000001001100000000000000000100000000000000001010010000001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000000110001001100000000100100110010000001011000110000110000110001000000000010011000000000000000001000000000000000010100100000011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000001100010011000000001001001100000000010110001100001100001100010000000000100110000000000000000010000000000000000101001000000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000011000100110000000010010011000000000101100011000011000011000100000000001001100000000000000000100000000000000001010010000001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000000110001001100000000000100110010000001011000110000110000110000000000000010011000000000000000001000000000000000010100100000011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000001100010011000000000001001100100000010110001100001100001100000000000000100110000000000000000010000000000000000101001000000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000011000100110000000000010011000000000101100011000011000011000000000000001001100000000000000000100000000000000001010010000001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000000110001001100000000000100110000000001011000110000110000110000000000000010011000000000000000001000000000000000010100100000011000011000010011000001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000001111000101010010110111111110100000010110001100001100001100110000000000100110000000000000000010100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000011110001010100101101111111101000000101100011000011000011001100000000001001100000000000000000101000000000000011010010000001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000000111100010101001011011111111000000001011000110000110000110011000000000010011000000000000000001010000000000000110100100000011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000001111000101010010110111111110000000010110001100001100001100110000000000100110000000000000000010100000000000001101001000000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000011110001010100101110000111101000000101100011100011000011001000000000001001100000000000000000011000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000000111100010101001011100001111010000001011000111000110000110010000000000010011000000000000000000110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000001111000101010010111000011110000000010110001110001100001100100000000000100110000000000000000001100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000011110001010100101110000111100000000101100011100011000011001000000000001001100000000000000000011000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000000111100010101001010100001111011000000000111111000110000110011000000000010011000000000000000000110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000001111000101010010101000011110110000000001111110001100001100110000000000100110000000000000000001100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000011110001010100101010000111100100000000011111100011000011001100000000001001100000000000000000011000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000000111100010101001010100001111001000000000111111000110000110011000000000010011000000000000000000110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000001111000101010010100000011110110000000001111110001100001100100000000000100110000000000000000001100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000011110001010100101000000111101100000000011111100011000011001000000000001001100000000000000000011000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000000111100010101001010000001111001000000000111111000110000110010000000000010011000000000000000000110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000001111000101010010100000011110010000000001111110001100001100100000000000100110000000000000000001100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000010110001110100101100000111101100000000011111100011000011001100000000001001100000000000000000011000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000000101100011101001011000001111011000000000111111000110000110011000000000010011000000000000000000110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000001011000111010010110000011110010000000001111110001100001100110000000000100110000000000000000001100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000010110001110100101100000111100100000000011111100011000011001100000000001001100000000000000000011000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000000101100011101001011100100000011000000000111111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000001011000111010010111001000000110000000001111110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000010110001110100101110010000000100000000011111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000000101100011101001011100100000001000000000111111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000001011000111010010101001000000100000000001111110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000010110001110100101010010000001000000000011111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000000101100011101001010100100000000000000000111111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000001011000111010010101001000000000000000001111110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000010110001110100101000010000001000000000011111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000000101100011101001010000100000010000000000111111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000001011000111010010100001000000000000000001111110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000010110001110100101000010000000000000000011111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000000111100011101001011000100000010000000000111111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000001111000111010010110001000000100000000001111110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000011110001110100101100010000000000000000011111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000000111100011101001011000100000000000000000111111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000001111000111010010111000100110100000000001111110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000011110001110100101110001001101000000000011111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000000111100011101001011100010011000000000000111111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000001111000111010010111000100110000000000001111110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000011110001110100101010001001101100000000100111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000000111100011101001010100010011011000000001001111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000001111000111010010101000100110010000000010011110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000011110001110100101010001001100100000000100111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000000111100011101001010000010011011000000001001111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000001111000111010010100000100110110000000010011110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000011110001110100101000001001100100000000100111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000000111100011101001010000010011001000000001001111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000001111000110111110110000100110110000000010011110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000011110001101111101100001001101100000000100111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000000111100011011111011000010011001000000001001111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000001111000110111110110000100110010000000010011110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000011110001101111101110000000001100000000100111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000000111100011011111011100000000011000000001001111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000001111000110111110111000000000010000000010011110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000011110001101111101110000000000100000000100111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000000111100011011111010100000000010000000001001111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000001111000110111110101000000000100000000010011110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000011110001101111101010000000000000000000100111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000000111100011011111010100000000000000000001001111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000001111000110111110100000000000100000000010011110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000011110001101111101000000000001000000000100111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000000111100011011111010000000000000000000001001111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000001111000110111110100000000000000000000010011110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000010110001001100101100000000001000000000100111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000000101100010011001011000000000010000000001001111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000001011000100110010110000000000000000000010011110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000010110001001100101100000000000000000000100111100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000000101100010011001011100110010010000000001001111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000001011000100110010111001100100100000000010011110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000010110001001100101110011001000000000000100111100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000000101100010011001011100110010000000000001001111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000001011000100110010101001100100110000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000010110001001100101010011001001100000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000000101100010011001010100110010001000000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000001011000100110010101001100100010000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000010110001001100101000011001001100000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000000101100010011001010000110010011000000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000001011000100110010100001100100010000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000010110001001100101000011001000100000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000000111100010011001011000110010011000000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000001111000100110010110001100100110000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000011110001001100101100011001000100000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000000111100010011001011000110010001000000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000001111000100110010111000010010110000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000011110001001100101110000100101100000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000000111100010011001011100001001001000000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000001111000100110010111000010010010000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000011110001001100101010000100101010000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000000111100010011001010100001001010100000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000001111000100110010101000010010001000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000011110001001100101010000100100010000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000000111100010011001010000001001010100000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000001111000100110010100000010010101000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000011110001001100101000000100100010000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000000111100010011001010000001001000100000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000001011000110110010110000010010101000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000010110001101100101100000100101010000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000000101100011011001011000001001000100000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000001011000110110010110000010010001000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000010110001101100101110111000101010000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000000101100011011001011101110001010100000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000001011000110110010111011100010001000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000010110001101100101110111000100010000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000000101100011011001010101110001010000000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000001011000110110010101011100010100000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000010110001101100101010111000100000000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000000101100011011001010101110001000000000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000001011000110110010100011100010100000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000010110001101100101000111000101000000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000000101100011011001010001110001000000000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000001011000110110010100011100010000000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000010110001000100101100111000101000000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000000101100010001001011001110001010000000011001011000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000001011000100010010110011100010000000000110010110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000010110001000100101100111000100000000001100101100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000000101100010001001011110001101010000000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000001011000100010010111100011010100000000110010110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000010110001000100101111000110100000000001100101100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000000101100010001001011110001101000000000011001011000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000001011000100010010101100011010110000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000010110001000100101011000110101100000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000000101100010001001010110001101001000001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000001011000100010010101100011010010000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000010110001000100101001000110101100000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000000101100010001001010010001101011000001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000001011000100010010100100011010010000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000010110001000100101001000110100100000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000000111100010001001011010001101011000001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000001111000100010010110100011010110000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000000011110001000100101101000110100100000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000000111100010001001011010001101001000001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000001111000100010010111000000000110000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000000011110001000100101110000000001100000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000000111100010001001011100000000001000001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000001111000100010010111000000000010000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000000011110001000100101010000000001010000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000000111100010001001010100000000010100001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000001111000100010010101000000000001000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000000011110001000100101010000000000010000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000000111100010001001010000000000010100001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000001111000100010010100000000000101000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000000011110001000100101000000000000010000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000000111100010001001010000000000000100001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000001000000000000000010111111110101000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000000010000000000000000101111111101010000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000000100000000000000001011111111000100001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000001000000000000000010111111110001000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000000010000000000000000111001100101010000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000000100000000000000001110011001010100001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000001000000000000000011100110010001000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000000010000000000000000111001100100010000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000000100000000000000000110011001011100001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000001000000000000000001100110010111000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000000010000000000000000011001100100110000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000000100000000000000000110011001001100001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000001000000000000000000100110010111000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000000010000000000000000001001100101110000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000000100000000000000000010011001001100001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000001000000000000000000100110010011000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000000011000000000000000101001100101110000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000000110000000000000001010011001011100001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000001100000000000000010100110010011000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000000011000000000000000101001100100110000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000000110000000000000001111110001011100001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000001100000000000000011111100010111000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000000011000000000000000111111000100110000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000000110000000000000001111110001001100001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000001100000000000000001111100010100100010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000000011000000000000000011111000101001000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000000110000000000000000111110001000010001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000001100000000000000001111100010000100010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000000011000000000000000001111000101001000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000000110000000000000000011110001010010001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000001100000000000000000111100010000100010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000000011000000000000000001111000100001000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000000111100011011001111011111111010010001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000001111000110110011110111111110100100010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000000011110001101100111101111111100001000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000000111100011011001111011111111000010001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000001111000110110011111001001100100100010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000000011110001101100111110010011001001000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000000111100011011001111100100110000010001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000001111000110110011111001001100000100010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000000011110001101100111010010011001000000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000000111100011011001110100100110010000001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000001111000110110011101001001100000000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000000011110001101100111010010011000000000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000000111100011011001110000100110010000001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000001111000110110011100001001100100000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000000011110001101100111000010011000000000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000000111100011011001110000100110000000001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000001011000110010010110001001100100000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000000010110001100100101100010011001000000100011011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000000101100011001001011000100110000000001000110111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000001011000110010010110001001100000000010001101110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000000010110001100100101111011000101000000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000000101100011001001011110110001010000001000110111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000001011000110010010111101100010000000010001101110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000000010110001100100101111011000100000000100011011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000000101100011001001010110110001011000001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000001011000110010010101101100010110000010110001110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000000010110001100100101011011000100100000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000000101100011001001010110110001001000001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000001011000110010010100101100010110000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000000010110001100100101001011000101100000101100011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000000101100011001001010010110001001000001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000001011000110010010100101100010010000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000000011110001100100101101011000101100000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000000111100011001001011010110001011000001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000001111000110010010110101100010010000010110001110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000000011110001100100101101011000100100000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000000111100011001001011111100000011000001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000001111000110010010111111000000110000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000000011110001100100101111110000000100000101100011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000000111100011001001011111100000001000001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000001111000110010010101111000000101000010110001110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000000011110001100100101011110000001010000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000000111100011001001010111100000000100001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000001111000110010010101111000000001000010110001110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000000011110001100100101001110000001010000101100011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000000111100011001001010011100000010100001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000001111000110010010100111000000001000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000000011110001100100101001110000000010000101100011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000000101100010101001011011100000010100001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000001011000101010010110111000000101000010110001110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000000010110001010100101101110000000010000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000000101100010101001011011100000000100001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000001011000101010010111000001000101000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000000010110001010100101110000010001010000101100011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000000101100010101001011100000100000100001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000001011000101010010111000001000001000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000000010110001010100101010000010001110000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000000101100010101001010100000100011100001011000111000110000110011000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000001011000101010010101000001000011000010110001110001100001100110000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000000010110001010100101010000010000110000101100011100011000011001100000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000000101100010101001010000000100011100001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000001011000101010010100000001000111000010110001110001100001100100000000000100110000000000000000011100000000000001101001001000110000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000000010110001010100101000000010000110000101100011100011000011001000000000001001100000000000000000111000000000000011010010010001100001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000000101100010101001010000000100001100001011000111000110000110010000000000010011000000000000000001110000000000000110100100100011000011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000001100010011000000010001001100111000010110001110001100001100010000000000100110000000000000000011000000000000000101001001000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000000011000100110000000100010011001110000101100011100011000011000100000000001001100000000000000000110000000000000001010010010001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000000110001001100000001000100110001100001011000111000110000110001000000000010011000000000000000001100000000000000010100100100011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000001100010011000000010001001100011000010110001110001100001100010000000000100110000000000000000011000000000000000101001001000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000000011000100110000000110010011001110000101100011100011000011000000000000001001100000000000000000100000000000000001010010010001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000000110001001100000001100100110011100001011000111000110000110000000000000010011000000000000000001000000000000000010100100100011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000001100010011000000011001001100011000010110001110001100001100000000000000100110000000000000000010000000000000000101001001000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000000011000100110000000110010011000110000101100011100011000011000000000000001001100000000000000000100000000000000001010010010001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000000110001001100000000100100110010000001011000111000110000110001000000000010011000000000000000001000000000000000010100100100011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000001100010011000000001001001100100000010110001110001100001100010000000000100110000000000000000010000000000000000101001001000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000000011000100110000000010010011000000000101100011100011000011000100000000001001100000000000000000100000000000000001010010010001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000000110001001100000000100100110000000001011000111000110000110001000000000010011000000000000000001000000000000000010100100100011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000001100010011000000000001001100100000010110001110001100001100000000000000100110000000000000000010000000000000000101001001000110000110000100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000000011000100110000000000010011001000000101100011100011000011000000000000001001100000000000000000100000000000000001010010010001100001100001001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000000110001001100000000000100110000000001011000111000110000110000000000000010011000000000000000001000000000000000010100100100011000011000010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000001100010011000000000001001100000000010110001110001100001100000000000000100110000000000000000010000000000000000101001001000110000110000100110000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000000011110001010100101101111111101000000101100011100011000011001100000000001001100000000000000000101000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000000111100010101001011011111111010000001011000111000110000110011000000000010011000000000000000001010000000000000110100100100011000011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000001111000101010010110111111110000000010110001110001100001100110000000000100110000000000000000010100000000000001101001001000110000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000000011110001010100101101111111100000000101100011100011000011001100000000001001100000000000000000101000000000000011010010010001100001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000000111100010101001011100001111010000001011000110000101000110010000000000010011000000000000000000110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000001111000101010010111000011110100000010110001100001010001100100000000000100110000000000000000001100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000000011110001010100101110000111100000000101100011000010100011001000000000001001100000000000000000011000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000000111100010101001011100001111000000001011000110000101000110010000000000010011000000000000000000110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000001111000101010010101000011110110000000001111100001010001100110000000000100110000000000000000001100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000000011110001010100101010000111101100000000011111000010100011001100000000001001100000000000000000011000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000000111100010101001010100001111001000000000111110000101000110011000000000010011000000000000000000110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000001111000101010010101000011110010000000001111100001010001100110000000000100110000000000000000001100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000000011110001010100101000000111101100000000011111000010100011001000000000001001100000000000000000011000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000000111100010101001010000001111011000000000111110000101000110010000000000010011000000000000000000110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000001111000101010010100000011110010000000001111100001010001100100000000000100110000000000000000001100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000000011110001010100101000000111100100000000011111000010100011001000000000001001100000000000000000011000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000000101100011101001011000001111011000000000111110000101000110011000000000010011000000000000000000110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000001011000111010010110000011110110000000001111100001010001100110000000000100110000000000000000001100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000000010110001110100101100000111100100000000011111000010100011001100000000001001100000000000000000011000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000000101100011101001011000001111001000000000111110000101000110011000000000010011000000000000000000110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000001011000111010010111001000000110000000001111100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000000010110001110100101110010000001100000000011111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000000101100011101001011100100000001000000000111110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000001011000111010010111001000000010000000001111100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000000010110001110100101010010000001000000000011111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000000101100011101001010100100000010000000000111110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000001011000111010010101001000000000000000001111100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000000010110001110100101010010000000000000000011111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000000101100011101001010000100000010000000000111110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000001011000111010010100001000000100000000001111100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000000010110001110100101000010000000000000000011111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000000101100011101001010000100000000000000000111110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000001111000111010010110001000000100000000001111100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000000011110001110100101100010000001000000000011111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000000111100011101001011000100000000000000000111110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000001111000111010010110001000000000000000001111100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000000011110001110100101110001001101000000000011111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000000111100011101001011100010011010000000000111110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000001111000111010010111000100110000000000001111100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000000011110001110100101110001001100000000000011111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000000111100011101001010100010011011000000001001110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000001111000111010010101000100110110000000010011100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000000011110001110100101010001001100100000000100111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000000111100011101001010100010011001000000001001110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000001111000111010010100000100110110000000010011100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000000011110001110100101000001001101100000000100111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000000111100011101001010000010011001000000001001110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000001111000111010010100000100110010000000010011100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000011110001101111101100001001101100000000100111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000000111100011011111011000010011011000000001001110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000001111000110111110110000100110010000000010011100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000011110001101111101100001001100100000000100111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000000111100011011111011100000000011000000001001110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000001111000110111110111000000000110000000010011100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000011110001101111101110000000000100000000100111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000000111100011011111011100000000001000000001001110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000001111000110111110101000000000100000000010011100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000011110001101111101010000000001000000000100111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000000111100011011111010100000000000000000001001110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000001111000110111110101000000000000000000010011100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000011110001101111101000000000001000000000100111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000000111100011011111010000000000010000000001001110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000001111000110111110100000000000000000000010011100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000011110001101111101000000000000000000000100111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000000101100010011001011000000000010000000001001110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000001011000100110010110000000000100000000010011100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000010110001001100101100000000000000000000100111000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000000101100010011001011000000000000000000001001110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000001011000100110010111001100100100000000010011100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000010110001001100101110011001001000000000100111000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000000101100010011001011100110010000000000001001110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000001011000100110010111001100100000000000010011100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000010110001001100101010011001001100000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000000101100010011001010100110010011000000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000001011000100110010101001100100010000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000010110001001100101010011001000100000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000000101100010011001010000110010011000000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000001011000100110010100001100100110000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000010110001001100101000011001000100000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000000101100010011001010000110010001000000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000001111000100110010110001100100110000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000011110001001100101100011001001100000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000000111100010011001011000110010001000000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000001111000100110010110001100100010000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000011110001001100101110000100101100000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000000111100010011001011100001001011000000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000001111000100110010111000010010010000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000011110001001100101110000100100100000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000000111100010011001010100001001010100000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000001111000100110010101000010010101000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000011110001001100101010000100100010000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000000111100010011001010100001001000100000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000001111000100110010100000010010101000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000011110001001100101000000100101010000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000000111100010011001010000001001000100000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000001111000100110010100000010010001000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000010110001101100101100000100101010000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000000101100011011001011000001001010100000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000001011000110110010110000010010001000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000010110001101100101100000100100010000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000000101100011011001011101110001010100000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000001011000110110010111011100010101000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000010110001101100101110111000100010000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000000101100011011001011101110001000100000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000001011000110110010101011100010100000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000010110001101100101010111000101000000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000000101100011011001010101110001000000000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000001011000110110010101011100010000000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000010110001101100101000111000101000000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000000101100011011001010001110001010000000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000001011000110110010100011100010000000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000010110001101100101000111000100000000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000000101100010001001011001110001010000000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000001011000100010010110011100010100000000110010100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000010110001000100101100111000100000000001100101000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000000101100010001001011001110001000000000011001010000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000001011000100010010111100011010100000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000010110001000100101111000110101000000001100101000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000000101100010001001011110001101000000000011001010000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000001011000100010010111100011010000000000110010100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000010110001000100101011000110101100000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000000101100010001001010110001101011000001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000001011000100010010101100011010010000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000010110001000100101011000110100100000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000000101100010001001010010001101011000001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000001011000100010010100100011010110000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000010110001000100101001000110100100000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000000101100010001001010010001101001000001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000001111000100010010110100011010110000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000011110001000100101101000110101100000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000000111100010001001011010001101001000001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000001111000100010010110100011010010000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000011110001000100101110000000001100000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000000111100010001001011100000000011000001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000001111000100010010111000000000010000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000011110001000100101110000000000100000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000000111100010001001010100000000010100001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000001111000100010010101000000000101000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000011110001000100101010000000000010000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000000111100010001001010100000000000100001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000001111000100010010100000000000101000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000011110001000100101000000000001010000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000000111100010001001010000000000000100001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000001111000100010010100000000000001000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000010000000000000000101111111101010000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000000100000000000000001011111111010100001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000001000000000000000010111111110001000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000010000000000000000101111111100010000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000000100000000000000001110011001010100001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000001000000000000000011100110010101000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000010000000000000000111001100100010000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000000100000000000000001110011001000100001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000001000000000000000001100110010111000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000010000000000000000011001100101110000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000000100000000000000000110011001001100001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000001000000000000000001100110010011000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000010000000000000000001001100101110000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000000100000000000000000010011001011100001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000001000000000000000000100110010011000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000010000000000000000001001100100110000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000000110000000000000001010011001011100001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000001100000000000000010100110010111000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000011000000000000000101001100100110000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000000110000000000000001010011001001100001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000001100000000000000011111100010111000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000011000000000000000111111000101110000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000000110000000000000001111110001001100001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000001100000000000000011111100010011000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000011000000000000000011111000101001000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000000110000000000000000111110001010010001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000001100000000000000001111100010000100010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000011000000000000000011111000100001000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000000110000000000000000011110001010010001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000001100000000000000000111100010100100010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000011000000000000000001111000100001000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000000110000000000000000011110001000010001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000001011000101110011110111111110100100010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000010110001011100111101111111101001000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000000101100010111001111011111111000010001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000001011000101110011110111111110000100010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000010110001011100111110100111001001000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000000101100010111001111101001110010010001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000001011000101110011111010011100000100010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000010110001011100111110100111000001000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000000101100010111001110101001110010000001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000001011000101110011101010011100100000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000010110001011100111010100111000000000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000000101100010111001110101001110000000001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000001011000101110011100010011100100000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000010110001011100111000100111001000000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000000101100010111001110001001110000000001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000001011000101110011100010011100000000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000010110001100100101100100111001000000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000000101100011001001011001001110010000001000110110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000001011000110010010110010011100000000010001101100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000010110001100100101100100111000000000100011011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000000101100011001001011110110001010000001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000001011000110010010111101100010100000010001101100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000010110001100100101111011000100000000100011011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000000101100011001001011110110001000000001000110110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000001011000110010010101101100010110000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000010110001100100101011011000101100000101100011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000000101100011001001010110110001001000001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000001011000110010010101101100010010000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000010110001100100101001011000101100000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000000101100011001001010010110001011000001011000110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000001011000110010010100101100010010000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000010110001100100101001011000100100000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000000111100011001001011010110001011000001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000001111000110010010110101100010110000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000011110001100100101101011000100100000101100011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000000111100011001001011010110001001000001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000001111000110010010111111000000110000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000011110001100100101111110000001100000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000000111100011001001011111100000001000001011000110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000001111000110010010111111000000010000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000011110001100100101011110000001010000101100011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000000111100011001001010111100000010100001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000001111000110010010101111000000001000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000011110001100100101011110000000010000101100011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000000111100011001001010011100000010100001011000110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000001111000110010010100111000000101000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000011110001100100101001110000000010000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000000111100011001001010011100000000100001011000110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000001011000101010010110111000000101000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000010110001010100101101110000001010000101100011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000000101100010101001011011100000000100001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000001011000101010010110111000000001000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000010110001010100101110000010001010000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000000101100010101001011100000100010100001011000110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000001011000101010010111000001000001000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000010110001010100101110000010000010000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000000101100010101001010100000100011100001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000001011000101010010101000001000111000010110001100001010001100110000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000010110001010100101010000010000110000101100011000010100011001100000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000000101100010101001010100000100001100001011000110000101000110011000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000001011000101010010100000001000111000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000010110001010100101000000010001110000101100011000010100011001000000000001001100000000000000000111000000000000011010010000001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000000101100010101001010000000100001100001011000110000101000110010000000000010011000000000000000001110000000000000110100100000010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000001011000101010010100000001000011000010110001100001010001100100000000000100110000000000000000011100000000000001101001000000101000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000011000100110000000100100111001110000101100011000010100011000100000000001001100000000000000000110000000000000001010010000001010001100010011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000000110001001100000001001001110011100001011000110000101000110001000000000010011000000000000000001100000000000000010100100000010100011000100111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000001100010011000000010010011100011000010110001100001010001100010000000000100110000000000000000011000000000000000101001000000101000110001001110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000011000100110000000100100111000110000101100011000010100011000100000000001001100000000000000000110000000000000001010010000001010001100010011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000000110001001100000001101001110011100001011000110000101000110000000000000100111000000000000000001000000000000000010100100000010100011000100111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000001100010011000000011010011100111000010110001100001010001100000000000001001110000000000000000010000000000000000101001000000101000110001001110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000011000100110000000110100111000110000101100011000010100011000000000000010011100000000000000000100000000000000001010010000001010001100010011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000000110001001100000001101001110001100001011000110000101000110000000000000100111000000000000000001000000000000000010100100000010100011000100111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000001100010011000000001010011100100000010110001100001010001100010000000001001110000000000000000010000000000000000101001000000101000110001001110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000011000100110000000010100111001000000101100011000010100011000100000000010011100000000000000000100000000000000001010010000001010001100010011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000000110001001100000000101001110000000001011000110000101000110001000000000100111000000000000000001000000000000000010100100000010100011000100111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000001100010011000000001010011100000000010110001100001010001100010000000001001110000000000000000010000000000000000101001000000101000110001001110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000011000100110000000000100111001000000101100011000010100011000000000000010011100000000000000000100000000000000001010010000001010001100010011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000000110001001100000000001001110010000001011000110000101000110000000000000100111000000000000000001000000000000000010100100000010100011000100111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000001100010011000000000010011100000000010110001100001010001100000000000001001110000000000000000010000000000000000101001000000101000110001001110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000011000100110000000000100111000000000101100011000010100011000000000000010011100000000000000000100000000000000001010010000001010001100010011100000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000000111100010101001011011111111010000001011000110000101000110011000000000100111000000000000000001010000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000001111000101010010110111111110100000010110001100001010001100110000000001001110000000000000000010100000000000001101001000000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000011110001010100101101111111100000000101100011000010100011001100000000010011100000000000000000101000000000000011010010000001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000000111100010101001011011111111000000001011000110000101000110011000000000100111000000000000000001010000000000000110100100000010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000001111000101010010111000011110100000010110001110001010001100100000000001001110000000000000000001100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000011110001010100101110000111101000000101100011100010100011001000000000010011100000000000000000011000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000000111100010101001011100001111000000001011000111000101000110010000000000100111000000000000000000110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000001111000101010010111000011110000000010110001110001010001100100000000001001110000000000000000001100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000011110001010100101010000111101100000000011111100010100011001100000000010011100000000000000000011000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000000111100010101001010100001111011000000000111111000101000110011000000000100111000000000000000000110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000001111000101010010101000011110010000000001111110001010001100110000000001001110000000000000000001100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000011110001010100101010000111100100000000011111100010100011001100000000010011100000000000000000011000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000000111100010101001010000001111011000000000111111000101000110010000000000100111000000000000000000110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000001111000101010010100000011110110000000001111110001010001100100000000001001110000000000000000001100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000011110001010100101000000111100100000000011111100010100011001000000000010011100000000000000000011000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000000111100010101001010000001111001000000000111111000101000110010000000000100111000000000000000000110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000001011000111010010110000011110110000000001111110001010001100110000000001001110000000000000000001100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000010110001110100101100000111101100000000011111100010100011001100000000010011100000000000000000011000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000000101100011101001011000001111001000000000111111000101000110011000000000100111000000000000000000110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000001011000111010010110000011110010000000001111110001010001100110000000001001110000000000000000001100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000010110001110100101110010000001100000000011111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000000101100011101001011100100000011000000000111111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000001011000111010010111001000000010000000001111110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000010110001110100101110010000000100000000011111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000000101100011101001010100100000010000000000111111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000001011000111010010101001000000100000000001111110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000010110001110100101010010000000000000000011111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000000101100011101001010100100000000000000000111111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000001011000111010010100001000000100000000001111110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000010110001110100101000010000001000000000011111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000000101100011101001010000100000000000000000111111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000001011000111010010100001000000000000000001111110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000011110001110100101100010000001000000000011111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000000111100011101001011000100000010000000000111111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000001111000111010010110001000000000000000001111110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000011110001110100101100010000000000000000011111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000000111100011101001011100010011010000000000111111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000001111000111010010111000100110100000000001111110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000011110001110100101110001001100000000000011111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000000111100011101001011100010011000000000000111111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000001111000111010010101000100110110000000010011110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000011110001110100101010001001101100000000100111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000000111100011101001010100010011001000000001001111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000001111000111010010101000100110010000000010011110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000011110001110100101000001001101100000000100111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000000111100011101001010000010011011000000001001111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000001111000111010010100000100110010000000010011110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000011110001110100101000001001100100000000100111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000000111100011011111011000010011011000000001001111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000001111000110111110110000100110110000000010011110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000011110001101111101100001001100100000000100111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000000111100011011111011000010011001000000001001111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000001111000110111110111000000000110000000010011110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000011110001101111101110000000001100000000100111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000000111100011011111011100000000001000000001001111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000001111000110111110111000000000010000000010011110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000011110001101111101010000000001000000000100111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000000111100011011111010100000000010000000001001111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000001111000110111110101000000000000000000010011110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000011110001101111101010000000000000000000100111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000000111100011011111010000000000010000000001001111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000001111000110111110100000000000100000000010011110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000011110001101111101000000000000000000000100111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000000111100011011111010000000000000000000001001111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000001011000100110010110000000000100000000010011110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000010110001001100101100000000001000000000100111100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000000101100010011001011000000000000000000001001111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000001011000100110010110000000000000000000010011110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000010110001001100101110011001001000000000100111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000000101100010011001011100110010010000000001001111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000001011000100110010111001100100000000000010011110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000010110001001100101110011001000000000000100111100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000000101100010011001010100110010011000000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000001011000100110010101001100100110000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000010110001001100101010011001000100000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000000101100010011001010100110010001000000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000001011000100110010100001100100110000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000010110001001100101000011001001100000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000000101100010011001010000110010001000000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000001011000100110010100001100100010000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000011110001001100101100011001001100000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000000111100010011001011000110010011000000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000001111000100110010110001100100010000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000011110001001100101100011001000100000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000000111100010011001011100001001011000000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000001111000100110010111000010010110000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000011110001001100101110000100100100000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000000111100010011001011100001001001000000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000001111000100110010101000010010101000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000011110001001100101010000100101010000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000000111100010011001010100001001000100000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000001111000100110010101000010010001000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000011110001001100101000000100101010000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000000111100010011001010000001001010100000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000001111000100110010100000010010001000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000011110001001100101000000100100010000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000000101100011011001011000001001010100000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000001011000110110010110000010010101000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000010110001101100101100000100100010000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000000101100011011001011000001001000100000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000001011000110110010111011100010101000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000010110001101100101110111000101010000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000000101100011011001011101110001000100000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000001011000110110010111011100010001000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000010110001101100101010111000101000000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000000101100011011001010101110001010000000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000001011000110110010101011100010000000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000010110001101100101010111000100000000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000000101100011011001010001110001010000000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000001011000110110010100011100010100000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000010110001101100101000111000100000000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000000101100011011001010001110001000000000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000001011000100010010110011100010100000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000010110001000100101100111000101000000001100101100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000000101100010001001011001110001000000000011001011000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000001011000100010010110011100010000000000110010110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000010110001000100101111000110101000000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000000101100010001001011110001101010000000011001011000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000001011000100010010111100011010000000000110010110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000010110001000100101111000110100000000001100101100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000000101100010001001010110001101011000001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000001011000100010010101100011010110000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000010110001000100101011000110100100000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000000101100010001001010110001101001000001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000001011000100010010100100011010110000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000010110001000100101001000110101100000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000000101100010001001010010001101001000001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000001011000100010010100100011010010000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000011110001000100101101000110101100000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000000111100010001001011010001101011000001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000001111000100010010110100011010010000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000011110001000100101101000110100100000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000000111100010001001011100000000011000001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000001111000100010010111000000000110000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000011110001000100101110000000000100000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000000111100010001001011100000000001000001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000001111000100010010101000000000101000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000011110001000100101010000000001010000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000000111100010001001010100000000000100001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000001111000100010010101000000000001000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000011110001000100101000000000001010000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000000111100010001001010000000000010100001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000001111000100010010100000000000001000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000011110001000100101000000000000010000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000100100000000000000001011111111010100001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001001000000000000000010111111110101000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010010000000000000000101111111100010000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000100100000000000000001011111111000100001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001001000000000000000011100110010101000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010010000000000000000111001100101010000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000100100000000000000001110011001000100001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001001000000000000000011100110010001000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010010000000000000000011001100101110000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000100100000000000000000110011001011100001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001001000000000000000001100110010011000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010010000000000000000011001100100110000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000100100000000000000000010011001011100001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001001000000000000000000100110010111000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010010000000000000000001001100100110000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000100100000000000000000010011001001100001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001001100000000000000010100110010111000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010011000000000000000101001100101110000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000100110000000000000001010011001001100001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001001100000000000000010100110010011000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010011000000000000000111111000101110000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000100110000000000000001111110001011100001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001001100000000000000011111100010011000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010011000000000000000111111000100110000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000100110000000000000000111110001010010001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001001100000000000000001111100010100100010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010011000000000000000011111000100001000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000100110000000000000000111110001000010001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001001100000000000000000111100010100100010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010011000000000000000001111000101001000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000100110000000000000000011110001000010001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001001100000000000000000111100010000100010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010011110001011100111101111111101001000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000100111100010111001111011111111010010001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001001111000101110011110111111110000100010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010011110001011100111101111111100001000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000100111100010111001111110100110010010001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001001111000101110011111101001100100100010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010011110001011100111111010011000001000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000100111100010111001111110100110000010001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001001111000101110011101101001100100000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010011110001011100111011010011001000000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000100111100010111001110110100110000000001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001001111000101110011101101001100000000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010011110001011100111001010011001000000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000100111100010111001110010100110010000001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001001111000101110011100101001100000000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010011110001011100111001010011000000000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000100101100011001001011010100110010000001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001001011000110010010110101001100100000010001101110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010010110001100100101101010011000000000100011011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000100101100011001001011010100110000000001000110111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001001011000110010010111101100010100000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010010110001100100101111011000101000000100011011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000100101100011001001011110110001000000001000110111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001001011000110010010111101100010000000010001101110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010010110001100100101011011000101100000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000100101100011001001010110110001011000001011000111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001001011000110010010101101100010010000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010010110001100100101011011000100100000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000100101100011001001010010110001011000001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001001011000110010010100101100010110000010110001110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010010110001100100101001011000100100000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000100101100011001001010010110001001000001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001001111000110010010110101100010110000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010011110001100100101101011000101100000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000100111100011001001011010110001001000001011000111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001001111000110010010110101100010010000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010011110001100100101111110000001100000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000100111100011001001011111100000011000001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001001111000110010010111111000000010000010110001110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010011110001100100101111110000000100000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000100111100011001001010111100000010100001011000111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001001111000110010010101111000000101000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010011110001100100101011110000000010000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000100111100011001001010111100000000100001011000111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001001111000110010010100111000000101000010110001110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010011110001100100101001110000001010000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000100111100011001001010011100000000100001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001001111000110010010100111000000001000010110001110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010010110001010100101101110000001010000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000100101100010101001011011100000010100001011000111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001001011000101010010110111000000001000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010010110001010100101101110000000010000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000100101100010101001011100000100010100001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001001011000101010010111000001000101000010110001110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010010110001010100101110000010000010000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000100101100010101001011100000100000100001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001001011000101010010101000001000111000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010010110001010100101010000010001110000101100011100010100011001100000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000100101100010101001010100000100001100001011000111000101000110011000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001001011000101010010101000001000011000010110001110001010001100110000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010010110001010100101000000010001110000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000100101100010101001010000000100011100001011000111000101000110010000000000100111000000000000000001110000000000000110100100100010100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001001011000101010010100000001000011000010110001110001010001100100000000001001110000000000000000011100000000000001101001001000101000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010010110001010100101000000010000110000101100011100010100011001000000000010011100000000000000000111000000000000011010010010001010001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000100110001001100000001010100110011100001011000111000101000110001000000000100111000000000000000001100000000000000010100100100010100011001010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001001100010011000000010101001100111000010110001110001010001100010000000001001110000000000000000011000000000000000101001001000101000110010100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010011000100110000000101010011000110000101100011100010100011000100000000010011100000000000000000110000000000000001010010010001010001100101001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000100110001001100000001010100110001100001011000111000101000110001000000000100111000000000000000001100000000000000010100100100010100011001010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001001100010011000000011101001100111000010110001110001010001100000000000010100110000000000000000010000000000000000101001001000101000110010100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010011000100110000000111010011001110000101100011100010100011000000000000101001100000000000000000100000000000000001010010010001010001100101001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000100110001001100000001110100110001100001011000111000101000110000000000001010011000000000000000001000000000000000010100100100010100011001010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001001100010011000000011101001100011000010110001110001010001100000000000010100110000000000000000010000000000000000101001001000101000110010100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010011000100110000000011010011001000000101100011100010100011000100000000101001100000000000000000100000000000000001010010010001010001100101001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000100110001001100000000110100110010000001011000111000101000110001000000001010011000000000000000001000000000000000010100100100010100011001010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001001100010011000000001101001100000000010110001110001010001100010000000010100110000000000000000010000000000000000101001001000101000110010100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010011000100110000000011010011000000000101100011100010100011000100000000101001100000000000000000100000000000000001010010010001010001100101001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000100110001001100000000010100110010000001011000111000101000110000000000001010011000000000000000001000000000000000010100100100010100011001010011000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001001100010011000000000101001100100000010110001110001010001100000000000010100110000000000000000010000000000000000101001001000101000110010100110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010011000100110000000001010011000000000101100011100010100011000000000000101001100000000000000000100000000000000001010010010001010001100101001100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000100110001001100000000010100110000000001011000111000101000110000000000001010011000000000000000001000000000000000010100100100010100011001010011000001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001001111000101010010110111111110100000010110001110001010001100110000000010100110000000000000000010100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010011110001010100101101111111101000000101100011100010100011001100000000101001100000000000000000101000000000000011010010010001010001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000100111100010101001011011111111000000001011000111000101000110011000000001010011000000000000000001010000000000000110100100100010100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001001111000101010010110111111110000000010110001110001010001100110000000010100110000000000000000010100000000000001101001001000101000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010011110001010100101110000111101000000101100011000011100011001000000000101001100000000000000000011000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000100111100010101001011100001111010000001011000110000111000110010000000001010011000000000000000000110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001001111000101010010111000011110000000010110001100001110001100100000000010100110000000000000000001100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010011110001010100101110000111100000000101100011000011100011001000000000101001100000000000000000011000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000100111100010101001010100001111011000000000111110000111000110011000000001010011000000000000000000110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001001111000101010010101000011110110000000001111100001110001100110000000010100110000000000000000001100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010011110001010100101010000111100100000000011111000011100011001100000000101001100000000000000000011000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000100111100010101001010100001111001000000000111110000111000110011000000001010011000000000000000000110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001001111000101010010100000011110110000000001111100001110001100100000000010100110000000000000000001100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010011110001010100101000000111101100000000011111000011100011001000000000101001100000000000000000011000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000100111100010101001010000001111001000000000111110000111000110010000000001010011000000000000000000110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001001111000101010010100000011110010000000001111100001110001100100000000010100110000000000000000001100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010010110001110100101100000111101100000000011111000011100011001100000000101001100000000000000000011000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000100101100011101001011000001111011000000000111110000111000110011000000001010011000000000000000000110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001001011000111010010110000011110010000000001111100001110001100110000000010100110000000000000000001100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010010110001110100101100000111100100000000011111000011100011001100000000101001100000000000000000011000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000100101100011101001011100100000011000000000111110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001001011000111010010111001000000110000000001111100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010010110001110100101110010000000100000000011111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000100101100011101001011100100000001000000000111110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001001011000111010010101001000000100000000001111100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010010110001110100101010010000001000000000011111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000100101100011101001010100100000000000000000111110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001001011000111010010101001000000000000000001111100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010010110001110100101000010000001000000000011111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000100101100011101001010000100000010000000000111110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001001011000111010010100001000000000000000001111100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010010110001110100101000010000000000000000011111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000100111100011101001011000100000010000000000111110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001001111000111010010110001000000100000000001111100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010011110001110100101100010000000000000000011111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000100111100011101001011000100000000000000000111110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001001111000111010010111000100110100000000001111100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010011110001110100101110001001101000000000011111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000100111100011101001011100010011000000000000111110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001001111000111010010111000100110000000000001111100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010011110001110100101010001001101100000000100111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000100111100011101001010100010011011000000001001110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001001111000111010010101000100110010000000010011100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010011110001110100101010001001100100000000100111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000100111100011101001010000010011011000000001001110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001001111000111010010100000100110110000000010011100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010011110001110100101000001001100100000000100111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000100111100011101001010000010011001000000001001110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001001111000110111110110000100110110000000010011100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010011110001101111101100001001101100000000100111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000100111100011011111011000010011001000000001001110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001001111000110111110110000100110010000000010011100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010011110001101111101110000000001100000000100111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000100111100011011111011100000000011000000001001110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001001111000110111110111000000000010000000010011100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010011110001101111101110000000000100000000100111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000100111100011011111010100000000010000000001001110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001001111000110111110101000000000100000000010011100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010011110001101111101010000000000000000000100111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000100111100011011111010100000000000000000001001110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001001111000110111110100000000000100000000010011100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010011110001101111101000000000001000000000100111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000100111100011011111010000000000000000000001001110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001001111000110111110100000000000000000000010011100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010010110001001100101100000000001000000000100111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000100101100010011001011000000000010000000001001110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001001011000100110010110000000000000000000010011100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010010110001001100101100000000000000000000100111000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000100101100010011001011100110010010000000001001110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001001011000100110010111001100100100000000010011100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010010110001001100101110011001000000000000100111000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000100101100010011001011100110010000000000001001110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001001011000100110010101001100100110000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010010110001001100101010011001001100000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000100101100010011001010100110010001000000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001001011000100110010101001100100010000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010010110001001100101000011001001100000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000100101100010011001010000110010011000000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001001011000100110010100001100100010000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010010110001001100101000011001000100000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000100111100010011001011000110010011000000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001001111000100110010110001100100110000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010011110001001100101100011001000100000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000100111100010011001011000110010001000000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001001111000100110010111000010010110000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010011110001001100101110000100101100000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000100111100010011001011100001001001000000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001001111000100110010111000010010010000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010011110001001100101010000100101010000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000100111100010011001010100001001010100000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001001111000100110010101000010010001000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010011110001001100101010000100100010000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000100111100010011001010000001001010100000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001001111000100110010100000010010101000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010011110001001100101000000100100010000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000100111100010011001010000001001000100000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001001011000110110010110000010010101000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010010110001101100101100000100101010000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000100101100011011001011000001001000100000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001001011000110110010110000010010001000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010010110001101100101110111000101010000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000100101100011011001011101110001010100000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001001011000110110010111011100010001000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010010110001101100101110111000100010000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000100101100011011001010101110001010000000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001001011000110110010101011100010100000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010010110001101100101010111000100000000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000100101100011011001010101110001000000000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001001011000110110010100011100010100000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010010110001101100101000111000101000000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000100101100011011001010001110001000000000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001001011000110110010100011100010000000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010010110001000100101100111000101000000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000100101100010001001011001110001010000000011001010000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001001011000100010010110011100010000000000110010100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010010110001000100101100111000100000000001100101000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000100101100010001001011110001101010000000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001001011000100010010111100011010100000000110010100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010010110001000100101111000110100000000001100101000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000100101100010001001011110001101000000000011001010000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001001011000100010010101100011010110000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010010110001000100101011000110101100000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000100101100010001001010110001101001000001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001001011000100010010101100011010010000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010010110001000100101001000110101100000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000100101100010001001010010001101011000001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001001011000100010010100100011010010000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010010110001000100101001000110100100000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000100111100010001001011010001101011000001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001001111000100010010110100011010110000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010011110001000100101101000110100100000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000100111100010001001011010001101001000001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001001111000100010010111000000000110000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010011110001000100101110000000001100000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000100111100010001001011100000000001000001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001001111000100010010111000000000010000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010011110001000100101010000000001010000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000100111100010001001010100000000010100001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001001111000100010010101000000000001000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010011110001000100101010000000000010000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000100111100010001001010000000000010100001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001001111000100010010100000000000101000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010011110001000100101000000000000010000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000100111100010001001010000000000000100001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001001000000000000000010111111110101000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010010000000000000000101111111101010000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000100100000000000000001011111111000100001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001001000000000000000010111111110001000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010010000000000000000111001100101010000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000100100000000000000001110011001010100001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001001000000000000000011100110010001000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010010000000000000000111001100100010000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000100100000000000000000110011001011100001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001001000000000000000001100110010111000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010010000000000000000011001100100110000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000100100000000000000000110011001001100001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001001000000000000000000100110010111000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010010000000000000000001001100101110000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000100100000000000000000010011001001100001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001001000000000000000000100110010011000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010011000000000000000101001100101110000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000100110000000000000001010011001011100001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001001100000000000000010100110010011000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010011000000000000000101001100100110000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000100110000000000000001111110001011100001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001001100000000000000011111100010111000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010011000000000000000111111000100110000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000100110000000000000001111110001001100001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001001100000000000000001111100010100100010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010011000000000000000011111000101001000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000100110000000000000000111110001000010001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001001100000000000000001111100010000100010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010011000000000000000001111000101001000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000100110000000000000000011110001010010001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001001100000000000000000111100010000100010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010011000000000000000001111000100001000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000100101100011111001111011111111010010001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001001011000111110011110111111110100100010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010010110001111100111101111111100001000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000100101100011111001111011111111000010001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001001011000111110011111110011100100100010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010010110001111100111111100111001001000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000100101100011111001111111001110000010001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001001011000111110011111110011100000100010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010010110001111100111011100111001000000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000100101100011111001110111001110010000001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001001011000111110011101110011100000000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010010110001111100111011100111000000000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000100101100011111001110011001110010000001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001001011000111110011100110011100100000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010010110001111100111001100111000000000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000100101100011111001110011001110000000001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001001011000110010010110110011100100000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010010110001100100101101100111001000000100011011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000100101100011001001011011001110000000001000110110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001001011000110010010110110011100000000010001101100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010010110001100100101111011000101000000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000100101100011001001011110110001010000001000110110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001001011000110010010111101100010000000010001101100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010010110001100100101111011000100000000100011011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000100101100011001001010110110001011000001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001001011000110010010101101100010110000010110001100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010010110001100100101011011000100100000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000100101100011001001010110110001001000001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001001011000110010010100101100010110000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010010110001100100101001011000101100000101100011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000100101100011001001010010110001001000001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001001011000110010010100101100010010000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010011110001100100101101011000101100000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000100111100011001001011010110001011000001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001001111000110010010110101100010010000010110001100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010011110001100100101101011000100100000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000100111100011001001011111100000011000001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001001111000110010010111111000000110000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010011110001100100101111110000000100000101100011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000100111100011001001011111100000001000001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001001111000110010010101111000000101000010110001100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010011110001100100101011110000001010000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000100111100011001001010111100000000100001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001001111000110010010101111000000001000010110001100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010011110001100100101001110000001010000101100011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000100111100011001001010011100000010100001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001001111000110010010100111000000001000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010011110001100100101001110000000010000101100011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000100101100010101001011011100000010100001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001001011000101010010110111000000101000010110001100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010010110001010100101101110000000010000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000100101100010101001011011100000000100001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001001011000101010010111000001000101000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010010110001010100101110000010001010000101100011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000100101100010101001011100000100000100001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001001011000101010010111000001000001000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010010110001010100101010000010001110000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000100101100010101001010100000100011100001011000110000111000110011000000001010011000000000000000001110000000000000110100100000011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001001011000101010010101000001000011000010110001100001110001100110000000010100110000000000000000011100000000000001101001000000111000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000010010110001010100101010000010000110000101100011000011100011001100000000101001100000000000000000111000000000000011010010000001110001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000100101100010101001010000000100011100001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100000110111111111111100001100001001000000000000000000100000000000000000000010000000000000001001011000101010010100000001000111000010110001100001110001100100000000010100110000000000000000011100000000000001101001000000111000110011111111000001101111111111111000011000010010000000000000000001000000000000000000000100000000000000010010110001010100101000000010000110000101100011000011100011001000000000101001100000000000000000111000000000000011010010000001110001100111111110000011011111111111110000110000100100000000000000000010000000000000000000001000000000000000100101100010101001010000000100001100001011000110000111000110010000000001010011000000000000000001110000000000000110100100000011100011001111111100000110111111111111100001100000001000000010000000000100000000000000000000010000000000000001001100010011000000010110011100111000010110001100001110001100010000000010100110000000000000000011000000000000000101001000000111000110011001110000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000010011000100110000000101100111001110000101100011000011100011000100000000101001100000000000000000110000000000000001010010000001110001100110011100000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000100110001001100000001011001110001100001011000110000111000110001000000001010011000000000000000001100000000000000010100100000011100011001100111000000110111111111111100001100000001000000010000000000100000000000000000000010000000000000001001100010011000000010110011100011000010110001100001110001100010000000010100110000000000000000011000000000000000101001000000111000110011001110000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000010011000100110000000111100111001110000101100011000011100011000000000000110011100000000000000000100000000000000001010010000001110001100110011100000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000100110001001100000001111001110011100001011000110000111000110000000000001100111000000000000000001000000000000000010100100000011100011001100111000000110111111111111100001100000001000000010000000000100000000000000000000010000000000000001001100010011000000011110011100011000010110001100001110001100000000000011001110000000000000000010000000000000000101001000000111000110011001110000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000010011000100110000000111100111000110000101100011000011100011000000000000110011100000000000000000100000000000000001010010000001110001100110011100000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000100110001001100000000111001110010000001011000110000111000110001000000001100111000000000000000001000000000000000010100100000011100011001100111000000110111111111111100001100000001000000010000000000100000000000000000000010000000000000001001100010011000000001110011100100000010110001100001110001100010000000011001110000000000000000010000000000000000101001000000111000110011001110000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000010011000100110000000011100111000000000101100011000011100011000100000000110011100000000000000000100000000000000001010010000001110001100110011100000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000100110001001100000000111001110000000001011000110000111000110001000000001100111000000000000000001000000000000000010100100000011100011001100111000000110111111111111100001100000001000000010000000000100000000000000000000010000000000000001001100010011000000000110011100100000010110001100001110001100000000000011001110000000000000000010000000000000000101001000000111000110011001110000001101111111111111000011000000010000000100000000001000000000000000000000100000000000000010011000100110000000001100111001000000101100011000011100011000000000000110011100000000000000000100000000000000001010010000001110001100110011100000011011111111111110000110000000100000001000000000010000000000000000000001000000000000000100110001001100000000011001110000000001011000110000111000110000000000001100111000000000000000001000000000000000010100100000011100011001100111000000110111111111111100001100000001000000010000000000100000000000000000000010000000000000001001100010011000000000110011100000000010110001100001110001100000000000011001110000000000000000010000000000000000101001000000111000110011001110000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000010011110001010100101101111111101000000101100011000011100011001100000000110011100000000000000000101000000000000011010010000001110001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000100111100010101001011011111111010000001011000110000111000110011000000001100111000000000000000001010000000000000110100100000011100011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000001001111000101010010110111111110000000010110001100001110001100110000000011001110000000000000000010100000000000001101001000000111000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000010011110001010100101101111111100000000101100011000011100011001100000000110011100000000000000000101000000000000011010010000001110001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000100111100010101001011100001111010000001011000111000111000110010000000001100111000000000000000000110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000001001111000101010010111000011110100000010110001110001110001100100000000011001110000000000000000001100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000010011110001010100101110000111100000000101100011100011100011001000000000110011100000000000000000011000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000100111100010101001011100001111000000001011000111000111000110010000000001100111000000000000000000110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000001001111000101010010101000011110110000000001111110001110001100110000000011001110000000000000000001100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000010011110001010100101010000111101100000000011111100011100011001100000000110011100000000000000000011000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000100111100010101001010100001111001000000000111111000111000110011000000001100111000000000000000000110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000001001111000101010010101000011110010000000001111110001110001100110000000011001110000000000000000001100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000010011110001010100101000000111101100000000011111100011100011001000000000110011100000000000000000011000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000000000000001000000000000000100111100010101001010000001111011000000000111111000111000110010000000001100111000000000000000000110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000000000000000010000000000000001001111000101010010100000011110010000000001111110001110001100100000000011001110000000000000000001100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000000000000000100000000000000010011110001010100101000000111100100000000011111100011100011001000000000110011100000000000000000011000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000100101100011101001011000001111011000000000111111000111000110011000000001100111000000000000000000110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000001001011000111010010110000011110110000000001111110001110001100110000000011001110000000000000000001100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000010010110001110100101100000111100100000000011111100011100011001100000000110011100000000000000000011000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000100101100011101001011000001111001000000000111111000111000110011000000001100111000000000000000000110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000001001011000111010010111001000000110000000001111110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000010010110001110100101110010000001100000000011111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000100101100011101001011100100000001000000000111111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000001001011000111010010111001000000010000000001111110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000010010110001110100101010010000001000000000011111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000100101100011101001010100100000010000000000111111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000001001011000111010010101001000000000000000001111110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000010010110001110100101010010000000000000000011111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000100101100011101001010000100000010000000000111111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000001000000000010000000000000001001011000111010010100001000000100000000001111110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000010000000000100000000000000010010110001110100101000010000000000000000011111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000100000000001000000000000000100101100011101001010000100000000000000000111111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000001001111000111010010110001000000100000000001111110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000000000010011110001110100101100010000001000000000011111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000000000100111100011101001011000100000000000000000111111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000001001111000111010010110001000000000000000001111110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000000000010011110001110100101110001001101000000000011111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000000000100111100011101001011100010011010000000000111111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000001001111000111010010111000100110000000000001111110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000000000010011110001110100101110001001100000000000011111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000000000100111100011101001010100010011011000000001001111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000001001111000111010010101000100110110000000010011110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000000000010011110001110100101010001001100100000000100111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000000000100111100011101001010100010011001000000001001111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000001001111000111010010100000100110110000000010011110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000010000000000100000000000000010011110001110100101000001001101100000000100111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000100000000001000000000000000100111100011101001010000010011001000000001001111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000000001001111000111010010100000100110010000000010011110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000000000010011110001101111101100001001101100000000100111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000000000100111100011011111011000010011011000000001001111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000000001001111000110111110110000100110010000000010011110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000000000010011110001101111101100001001100100000000100111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000000000100111100011011111011100000000011000000001001111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000000001001111000110111110111000000000110000000010011110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000000000010011110001101111101110000000000100000000100111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000000000100111100011011111011100000000001000000001001111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000000001001111000110111110101000000000100000000010011110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000000000010011110001101111101010000000001000000000100111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000000000100111100011011111010100000000000000000001001111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000000001001111000110111110101000000000000000000010011110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000000000010011110001101111101000000000001000000000100111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000000000100111100011011111010000000000010000000001001111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000000001001111000110111110100000000000000000000010011110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000000000010011110001101111101000000000000000000000100111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000000000100101100010011001011000000000010000000001001111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000000001001011000100110010110000000000100000000010011110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000000000010010110001001100101100000000000000000000100111100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000000000100101100010011001011000000000000000000001001111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000000001001011000100110010111001100100100000000010011110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000000000010010110001001100101110011001001000000000100111100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000000000100101100010011001011100110010000000000001001111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000000001001011000100110010111001100100000000000010011110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000000000010010110001001100101010011001001100000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000000000100101100010011001010100110010011000000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000000001001011000100110010101001100100010000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000000000010010110001001100101010011001000100000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000000000100101100010011001010000110010011000000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000000001001011000100110010100001100100110000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000000000010010110001001100101000011001000100000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000000000100101100010011001010000110010001000000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000000001001111000100110010110001100100110000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000000000010011110001001100101100011001001100000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000000000100111100010011001011000110010001000000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000000001001111000100110010110001100100010000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000000000010011110001001100101110000100101100000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000000000100111100010011001011100001001011000000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000000001001111000100110010111000010010010000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000000000010011110001001100101110000100100100000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000000000100111100010011001010100001001010100000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000000001001111000100110010101000010010101000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000000000010011110001001100101010000100100010000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000000000100111100010011001010100001001000100000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000000001001111000100110010100000010010101000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000000000010011110001001100101000000100101010000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000000000100111100010011001010000001001000100000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000000001001111000100110010100000010010001000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000000000010010110001101100101100000100101010000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000000000100101100011011001011000001001010100000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000000001001011000110110010110000010010001000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000000000010010110001101100101100000100100010000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000000000100101100011011001011101110001010100000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000000001001011000110110010111011100010101000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000000000010010110001101100101110111000100010000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000000000100101100011011001011101110001000100000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000000001001011000110110010101011100010100000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000000000010010110001101100101010111000101000000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000000000100101100011011001010101110001000000000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000000001001011000110110010101011100010000000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000000000010010110001101100101000111000101000000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000000000100101100011011001010001110001010000000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000000001001011000110110010100011100010000000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000000000010010110001101100101000111000100000000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000100101100010001001011001110001010000000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000001001011000100010010110011100010100000000110010110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000010010110001000100101100111000100000000001100101100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000100101100010001001011001110001000000000011001011000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000001001011000100010010111100011010100000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000010010110001000100101111000110101000000001100101100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000100101100010001001011110001101000000000011001011000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000001001011000100010010111100011010000000000110010110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000010010110001000100101011000110101100000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000100101100010001001010110001101011000001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000001001011000100010010101100011010010000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000010010110001000100101011000110100100000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000100101100010001001010010001101011000001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000001001011000100010010100100011010110000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000010010110001000100101001000110100100000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000100101100010001001010010001101001000001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000001001111000100010010110100011010110000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000010011110001000100101101000110101100000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000100111100010001001011010001101001000001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000001001111000100010010110100011010010000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000010011110001000100101110000000001100000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000100111100010001001011100000000011000001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000001001111000100010010111000000000010000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000010011110001000100101110000000000100000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000100111100010001001010100000000010100001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000001001111000100010010101000000000101000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000010011110001000100101010000000000010000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000100111100010001001010100000000000100001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000001001111000100010010100000000000101000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000010011110001000100101000000000001010000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000100111100010001001010000000000000100001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000001001111000100010010100000000000001000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000010010000000000000000101111111101010000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000100100000000000000001011111111010100001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100001001000000000000000010111111110001000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000010010000000000000000101111111100010000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000100100000000000000001110011001010100001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100001001000000000000000011100110010101000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000010010000000000000000111001100100010000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000100100000000000000001110011001000100001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100001001000000000000000001100110010111000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000010010000000000000000011001100101110000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000100100000000000000000110011001001100001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100001001000000000000000001100110010011000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000010010000000000000000001001100101110000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000100100000000000000000010011001011100001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100001001000000000000000000100110010011000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000010010000000000000000001001100100110000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000100110000000000000001010011001011100001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100001001100000000000000010100110010111000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000010011000000000000000101001100100110000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000100110000000000000001010011001001100001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100001001100000000000000011111100010111000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000010011000000000000000111111000101110000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000100110000000000000001111110001001100001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100001001100000000000000011111100010011000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000010011000000000000000011111000101001000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000100110000000000000000111110001010010001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001001100000000000000001111100010000100010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010011000000000000000011111000100001000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000100110000000000000000011110001010010001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001001100000000000000000111100010100100010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000010011000000000000000001111000100001000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000100110000000000000000011110001000010001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001001111000111110011110111111110100100010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010011110001111100111101111111101001000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000100111100011111001111011111111000010001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001001111000111110011110111111110000100010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010011110001111100111111100111001001000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000100111100011111001111111001110010010001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001001111000111110011111110011100000100010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010011110001111100111111100111000001000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000100111100011111001110111001110010000001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001001111000111110011101110011100100000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010011110001111100111011100111000000000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000100111100011111001110111001110000000001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001001111000111110011100110011100100000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000010011110001111100111001100111001000000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000100111100011111001110011001110000000001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001001111000111110011100110011100000000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010010110001100100101101100111001000000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000100101100011001001011011001110010000001000110111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001001011000110010010110110011100000000010001101110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010010110001100100101101100111000000000100011011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000100101100011001001011110110001010000001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001001011000110010010111101100010100000010001101110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010010110001100100101111011000100000000100011011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000100101100011001001011110110001000000001000110111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001001011000110010010101101100010110000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010010110001100100101011011000101100000101100011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000100101100011001001010110110001001000001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001001011000110010010101101100010010000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010010110001100100101001011000101100000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000100101100011001001010010110001011000001011000111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001001011000110010010100101100010010000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000010010110001100100101001011000100100000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000100111100011001001011010110001011000001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001001111000110010010110101100010110000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010011110001100100101101011000100100000101100011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000100111100011001001011010110001001000001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001001111000110010010111111000000110000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010011110001100100101111110000001100000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000100111100011001001011111100000001000001011000111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001001111000110010010111111000000010000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010011110001100100101011110000001010000101100011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000100111100011001001010111100000010100001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001001111000110010010101111000000001000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010011110001100100101011110000000010000101100011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000100111100011001001010011100000010100001011000111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001001111000110010010100111000000101000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000010011110001100100101001110000000010000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000100111100011001001010011100000000100001011000111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001001011000101010010110111000000101000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010010110001010100101101110000001010000101100011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000100101100010101001011011100000000100001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001001011000101010010110111000000001000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010010110001010100101110000010001010000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000100101100010101001011100000100010100001011000111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001001011000101010010111000001000001000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010010110001010100101110000010000010000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000100101100010101001010100000100011100001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001001011000101010010101000001000111000010110001110001110001100110000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010010110001010100101010000010000110000101100011100011100011001100000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000100101100010101001010100000100001100001011000111000111000110011000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001001011000101010010100000001000111000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000010010110001010100101000000010001110000101100011100011100011001000000000110011100000000000000000111000000000000011010010010001110001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000100101100010101001010000000100001100001011000111000111000110010000000001100111000000000000000001110000000000000110100100100011100011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001001011000101010010100000001000011000010110001110001110001100100000000011001110000000000000000011100000000000001101001001000111000110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010011000100110000000101100111001110000101100011100011100011000100000000110011100000000000000000110000000000000001010010010001110001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000100110001001100000001011001110011100001011000111000111000110001000000001100111000000000000000001100000000000000010100100100011100011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001001100010011000000010110011100011000010110001110001110001100010000000011001110000000000000000011000000000000000101001001000111000110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010011000100110000000101100111000110000101100011100011100011000100000000110011100000000000000000110000000000000001010010010001110001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000100110001001100000001111001110011100001011000111000111000110000000000001100111000000000000000001000000000000000010100100100011100011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001001100010011000000011110011100111000010110001110001110001100000000000011001110000000000000000010000000000000000101001001000111000110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010011000100110000000111100111000110000101100011100011100011000000000000110011100000000000000000100000000000000001010010010001110001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000100110001001100000001111001110001100001011000111000111000110000000000001100111000000000000000001000000000000000010100100100011100011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001001100010011000000001110011100100000010110001110001110001100010000000011001110000000000000000010000000000000000101001001000111000110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010011000100110000000011100111001000000101100011100011100011000100000000110011100000000000000000100000000000000001010010010001110001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000100110001001100000000111001110000000001011000111000111000110001000000001100111000000000000000001000000000000000010100100100011100011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001001100010011000000001110011100000000010110001110001110001100010000000011001110000000000000000010000000000000000101001001000111000110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010011000100110000000001100111001000000101100011100011100011000000000000110011100000000000000000100000000000000001010010010001110001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000100110001001100000000011001110010000001011000111000111000110000000000001100111000000000000000001000000000000000010100100100011100011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001001100010011000000000110011100000000010110001110001110001100000000000011001110000000000000000010000000000000000101001001000111000110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000010011000100110000000001100111000000000101100011100011100011000000000000110011100000000000000000100000000000000001010010010001110001100110011100000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000100111100010101001011011111111010000001011000111000111000110011000000001100111000000000000000001010000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001001111000101010010110111111110100000010110001110001110001100110000000011001110000000000000000010100000000000001101001001000111000110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010011110001010100101101111111100000000101100011100011100011001100000000110011100000000000000000101000000000000011010010010001110001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000100111100010101001011011111111000000001011000111000111000110011000000001100111000000000000000001010000000000000110100100100011100011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001001111000101010010111000011110100000010110001100001001001100100000000011001110000000000000000001100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010011110001010100101110000111101000000101100011000010010011001000000000110011100000000000000000011000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000100111100010101001011100001111000000001011000110000100100110010000000001100111000000000000000000110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001001111000101010010111000011110000000010110001100001001001100100000000011001110000000000000000001100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010011110001010100101010000111101100000000011111000010010011001100000000110011100000000000000000011000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000100111100010101001010100001111011000000000111110000100100110011000000001100111000000000000000000110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001001111000101010010101000011110010000000001111100001001001100110000000011001110000000000000000001100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010011110001010100101010000111100100000000011111000010010011001100000000110011100000000000000000011000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000100111100010101001010000001111011000000000111110000100100110010000000001100111000000000000000000110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001001111000101010010100000011110110000000001111100001001001100100000000011001110000000000000000001100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000010011110001010100101000000111100100000000011111000010010011001000000000110011100000000000000000011000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000100111100010101001010000001111001000000000111110000100100110010000000001100111000000000000000000110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001001011000111010010110000011110110000000001111100001001001100110000000011001110000000000000000001100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010010110001110100101100000111101100000000011111000010010011001100000000110011100000000000000000011000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000100101100011101001011000001111001000000000111110000100100110011000000001100111000000000000000000110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001001011000111010010110000011110010000000001111100001001001100110000000011001110000000000000000001100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010010110001110100101110010000001100000000011111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000100101100011101001011100100000011000000000111110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001001011000111010010111001000000010000000001111100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010010110001110100101110010000000100000000011111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000100101100011101001010100100000010000000000111110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001001011000111010010101001000000100000000001111100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010010110001110100101010010000000000000000011111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000100101100011101001010100100000000000000000111110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001001011000111010010100001000000100000000001111100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000010010110001110100101000010000001000000000011111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000100101100011101001010000100000000000000000111110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001001011000111010010100001000000000000000001111100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010011110001110100101100010000001000000000011111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000100111100011101001011000100000010000000000111110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001001111000111010010110001000000000000000001111100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010011110001110100101100010000000000000000011111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000100111100011101001011100010011010000000000111110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001001111000111010010111000100110100000000001111100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010011110001110100101110001001100000000000011111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000100111100011101001011100010011000000000000111110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001001111000111010010101000100110110000000010011100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010011110001110100101010001001101100000000100111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000100111100011101001010100010011001000000001001110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001001111000111010010101000100110010000000010011100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010011110001110100101000001001101100000000100111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000100111100011101001010000010011011000000001001110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001001111000111010010100000100110010000000010011100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000010011110001110100101000001001100100000000100111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000100111100011011111011000010011011000000001001110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001001111000110111110110000100110110000000010011100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010011110001101111101100001001100100000000100111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000100111100011011111011000010011001000000001001110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001001111000110111110111000000000110000000010011100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010011110001101111101110000000001100000000100111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000100111100011011111011100000000001000000001001110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001001111000110111110111000000000010000000010011100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010011110001101111101010000000001000000000100111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000100111100011011111010100000000010000000001001110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001001111000110111110101000000000000000000010011100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010011110001101111101010000000000000000000100111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000100111100011011111010000000000010000000001001110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001001111000110111110100000000000100000000010011100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000010011110001101111101000000000000000000000100111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000100111100011011111010000000000000000000001001110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001001011000100110010110000000000100000000010011100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010010110001001100101100000000001000000000100111000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000100101100010011001011000000000000000000001001110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001001011000100110010110000000000000000000010011100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010010110001001100101110011001001000000000100111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000100101100010011001011100110010010000000001001110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001001011000100110010111001100100000000000010011100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010010110001001100101110011001000000000000100111000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000100101100010011001010100110010011000000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001001011000100110010101001100100110000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010010110001001100101010011001000100000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000100101100010011001010100110010001000000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001001011000100110010100001100100110000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000010010110001001100101000011001001100000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000100101100010011001010000110010001000000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001001011000100110010100001100100010000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010011110001001100101100011001001100000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000100111100010011001011000110010011000000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001001111000100110010110001100100010000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010011110001001100101100011001000100000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000100111100010011001011100001001011000000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001001111000100110010111000010010110000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010011110001001100101110000100100100000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000100111100010011001011100001001001000000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001001111000100110010101000010010101000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010011110001001100101010000100101010000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000100111100010011001010100001001000100000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001001111000100110010101000010010001000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010011110001001100101000000100101010000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000100111100010011001010000001001010100000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001001111000100110010100000010010001000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000010011110001001100101000000100100010000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000100101100011011001011000001001010100000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001001011000110110010110000010010101000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010010110001101100101100000100100010000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000100101100011011001011000001001000100000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001001011000110110010111011100010101000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010010110001101100101110111000101010000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000100101100011011001011101110001000100000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001001011000110110010111011100010001000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010010110001101100101010111000101000000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000100101100011011001010101110001010000000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001001011000110110010101011100010000000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010010110001101100101010111000100000000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000100101100011011001010001110001010000000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001001011000110110010100011100010100000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000010010110001101100101000111000100000000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000100101100011011001010001110001000000000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001001011000100010010110011100010100000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010010110001000100101100111000101000000001100101000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000100101100010001001011001110001000000000011001010000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001001011000100010010110011100010000000000110010100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010010110001000100101111000110101000000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000100101100010001001011110001101010000000011001010000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001001011000100010010111100011010000000000110010100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010010110001000100101111000110100000000001100101000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000100101100010001001010110001101011000001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001001011000100010010101100011010110000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010010110001000100101011000110100100000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000100101100010001001010110001101001000001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001001011000100010010100100011010110000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000010010110001000100101001000110101100000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000100101100010001001010010001101001000001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001001011000100010010100100011010010000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010011110001000100101101000110101100000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000100111100010001001011010001101011000001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001001111000100010010110100011010010000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010011110001000100101101000110100100000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000100111100010001001011100000000011000001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001001111000100010010111000000000110000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010011110001000100101110000000000100000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000100111100010001001011100000000001000001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001001111000100010010101000000000101000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010011110001000100101010000000001010000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000100111100010001001010100000000000100001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001001111000100010010101000000000001000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010011110001000100101000000000001010000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000100111100010001001010000000000010100001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001001111000100010010100000000000001000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000010011110001000100101000000000000010000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000100100000000000000001011111111010100001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001001000000000000000010111111110101000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010010000000000000000101111111100010000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000100100000000000000001011111111000100001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001001000000000000000011100110010101000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010010000000000000000111001100101010000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000100100000000000000001110011001000100001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001001000000000000000011100110010001000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010010000000000000000011001100101110000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000100100000000000000000110011001011100001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001001000000000000000001100110010011000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010010000000000000000011001100100110000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000100100000000000000000010011001011100001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001001000000000000000000100110010111000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000010010000000000000000001001100100110000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000100100000000000000000010011001001100001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001001100000000000000010100110010111000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010011000000000000000101001100101110000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000100110000000000000001010011001001100001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001001100000000000000010100110010011000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010011000000000000000111111000101110000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000100110000000000000001111110001011100001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001001100000000000000011111100010011000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010011000000000000000111111000100110000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000100110000000000000000111110001010010001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001001100000000000000001111100010100100010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010011000000000000000011111000100001000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000100110000000000000000111110001000010001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001001100000000000000000111100010100100010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000010011000000000000000001111000101001000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000100110000000000000000011110001000010001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001001100000000000000000111100010000100010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010010110001000010111101111111101001000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000100101100010000101111011111111010010001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001001011000100001011110111111110000100010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010010110001000010111101111111100001000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000100101100010000101111100000100010010001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001001011000100001011111000001000100100010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010010110001000010111110000010000001000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000100101100010000101111100000100000010001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001001011000100001011101000001000100000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010010110001000010111010000010001000000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000100101100010000101110100000100000000001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001001011000100001011101000001000000000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010010110001000010111000000010001000000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000100101100010000101110000000100010000001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001001011000100001011100000001000000000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000010010110001000010111000000010000000000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000100101100011001001011000000100010000001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001001011000110010010110000001000100000010001101100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010010110001100100101100000010000000000100011011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000100101100011001001011000000100000000001000110110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001001011000110010010111101100010100000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010010110001100100101111011000101000000100011011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000100101100011001001011110110001000000001000110110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001001011000110010010111101100010000000010001101100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010010110001100100101011011000101100000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000100101100011001001010110110001011000001011000110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001001011000110010010101101100010010000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010010110001100100101011011000100100000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000100101100011001001010010110001011000001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001001011000110010010100101100010110000010110001100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000010010110001100100101001011000100100000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000100101100011001001010010110001001000001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001001111000110010010110101100010110000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010011110001100100101101011000101100000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000100111100011001001011010110001001000001011000110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001001111000110010010110101100010010000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010011110001100100101111110000001100000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000100111100011001001011111100000011000001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001001111000110010010111111000000010000010110001100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010011110001100100101111110000000100000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000100111100011001001010111100000010100001011000110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001001111000110010010101111000000101000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010011110001100100101011110000000010000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000100111100011001001010111100000000100001011000110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001001111000110010010100111000000101000010110001100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000010011110001100100101001110000001010000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000100111100011001001010011100000000100001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001001111000110010010100111000000001000010110001100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010010110001010100101101110000001010000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000100101100010101001011011100000010100001011000110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001001011000101010010110111000000001000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010010110001010100101101110000000010000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000100101100010101001011100000100010100001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001001011000101010010111000001000101000010110001100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010010110001010100101110000010000010000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000100101100010101001011100000100000100001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001001011000101010010101000001000111000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010010110001010100101010000010001110000101100011000010010011001100000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000100101100010101001010100000100001100001011000110000100100110011000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001001011000101010010101000001000011000010110001100001001001100110000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010010110001010100101000000010001110000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000100101100010101001010000000100011100001011000110000100100110010000000001100111000000000000000001110000000000000110100100000010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001001011000101010010100000001000011000010110001100001001001100100000000011001110000000000000000011100000000000001101001000000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000010010110001010100101000000010000110000101100011000010010011001000000000110011100000000000000000111000000000000011010010000001001001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000100110001001100000001000000100011100001011000110000100100110001000000001100111000000000000000001100000000000000010100100000010010011000000010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001001100010011000000010000001000111000010110001100001001001100010000000011001110000000000000000011000000000000000101001000000100100110000000100000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010011000100110000000100000010000110000101100011000010010011000100000000110011100000000000000000110000000000000001010010000001001001100000001000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000100110001001100000001000000100001100001011000110000100100110001000000001100111000000000000000001100000000000000010100100000010010011000000010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001001100010011000000011000001000111000010110001100001001001100000000000000000100000000000000000010000000000000000101001000000100100110000000100000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010011000100110000000110000010001110000101100011000010010011000000000000000001000000000000000000100000000000000001010010000001001001100000001000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000100110001001100000001100000100001100001011000110000100100110000000000000000010000000000000000001000000000000000010100100000010010011000000010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001001100010011000000011000001000011000010110001100001001001100000000000000000100000000000000000010000000000000000101001000000100100110000000100000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010011000100110000000010000010001000000101100011000010010011000100000000000001000000000000000000100000000000000001010010000001001001100000001000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000100110001001100000000100000100010000001011000110000100100110001000000000000010000000000000000001000000000000000010100100000010010011000000010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001001100010011000000001000001000000000010110001100001001001100010000000000000100000000000000000010000000000000000101001000000100100110000000100000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010011000100110000000010000010000000000101100011000010010011000100000000000001000000000000000000100000000000000001010010000001001001100000001000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000100110001001100000000000000100010000001011000110000100100110000000000000000010000000000000000001000000000000000010100100000010010011000000010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001001100010011000000000000001000100000010110001100001001001100000000000000000100000000000000000010000000000000000101001000000100100110000000100000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000010011000100110000000000000010000000000101100011000010010011000000000000000001000000000000000000100000000000000001010010000001001001100000001000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000100110001001100000000000000100000000001011000110000100100110000000000000000010000000000000000001000000000000000010100100000010010011000000010000001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001001111000101010010110111111110100000010110001100001001001100110000000000000100000000000000000010100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010011110001010100101101111111101000000101100011000010010011001100000000000001000000000000000000101000000000000011010010000001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000100111100010101001011011111111000000001011000110000100100110011000000000000010000000000000000001010000000000000110100100000010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001001111000101010010110111111110000000010110001100001001001100110000000000000100000000000000000010100000000000001101001000000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010011110001010100101110000111101000000101100011100010010011001000000000000001000000000000000000011000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000100111100010101001011100001111010000001011000111000100100110010000000000000010000000000000000000110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001001111000101010010111000011110000000010110001110001001001100100000000000000100000000000000000001100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010011110001010100101110000111100000000101100011100010010011001000000000000001000000000000000000011000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000100111100010101001010100001111011000000000111111000100100110011000000000000010000000000000000000110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001001111000101010010101000011110110000000001111110001001001100110000000000000100000000000000000001100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010011110001010100101010000111100100000000011111100010010011001100000000000001000000000000000000011000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000100111100010101001010100001111001000000000111111000100100110011000000000000010000000000000000000110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001001111000101010010100000011110110000000001111110001001001100100000000000000100000000000000000001100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000010011110001010100101000000111101100000000011111100010010011001000000000000001000000000000000000011000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000100111100010101001010000001111001000000000111111000100100110010000000000000010000000000000000000110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001001111000101010010100000011110010000000001111110001001001100100000000000000100000000000000000001100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010010110001110100101100000111101100000000011111100010010011001100000000000001000000000000000000011000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000100101100011101001011000001111011000000000111111000100100110011000000000000010000000000000000000110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001001011000111010010110000011110010000000001111110001001001100110000000000000100000000000000000001100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010010110001110100101100000111100100000000011111100010010011001100000000000001000000000000000000011000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000100101100011101001011100100000011000000000111111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001001011000111010010111001000000110000000001111110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010010110001110100101110010000000100000000011111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000100101100011101001011100100000001000000000111111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001001011000111010010101001000000100000000001111110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010010110001110100101010010000001000000000011111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000100101100011101001010100100000000000000000111111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001001011000111010010101001000000000000000001111110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010010110001110100101000010000001000000000011111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000100101100011101001010000100000010000000000111111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001001011000111010010100001000000000000000001111110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000010010110001110100101000010000000000000000011111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000100111100011101001011000100000010000000000111111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001001111000111010010110001000000100000000001111110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010011110001110100101100010000000000000000011111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000100111100011101001011000100000000000000000111111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001001111000111010010111000100110100000000001111110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010011110001110100101110001001101000000000011111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000100111100011101001011100010011000000000000111111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001001111000111010010111000100110000000000001111110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010011110001110100101010001001101100000000100111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000100111100011101001010100010011011000000001001111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001001111000111010010101000100110010000000010011110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010011110001110100101010001001100100000000100111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000100111100011101001010000010011011000000001001111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001001111000111010010100000100110110000000010011110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000010011110001110100101000001001100100000000100111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000100111100011101001010000010011001000000001001111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001001111000110111110110000100110110000000010011110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010011110001101111101100001001101100000000100111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000100111100011011111011000010011001000000001001111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001001111000110111110110000100110010000000010011110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010011110001101111101110000000001100000000100111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000100111100011011111011100000000011000000001001111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001001111000110111110111000000000010000000010011110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010011110001101111101110000000000100000000100111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000100111100011011111010100000000010000000001001111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001001111000110111110101000000000100000000010011110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010011110001101111101010000000000000000000100111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000100111100011011111010100000000000000000001001111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001001111000110111110100000000000100000000010011110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000010011110001101111101000000000001000000000100111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000100111100011011111010000000000000000000001001111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001001111000110111110100000000000000000000010011110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010010110001001100101100000000001000000000100111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000100101100010011001011000000000010000000001001111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001001011000100110010110000000000000000000010011110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010010110001001100101100000000000000000000100111100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000100101100010011001011100110010010000000001001111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001001011000100110010111001100100100000000010011110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010010110001001100101110011001000000000000100111100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000100101100010011001011100110010000000000001001111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001001011000100110010101001100100110000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010010110001001100101010011001001100000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000100101100010011001010100110010001000000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001001011000100110010101001100100010000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010010110001001100101000011001001100000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000100101100010011001010000110010011000000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001001011000100110010100001100100010000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000010010110001001100101000011001000100000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000100111100010011001011000110010011000000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001001111000100110010110001100100110000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010011110001001100101100011001000100000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000100111100010011001011000110010001000000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001001111000100110010111000010010110000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010011110001001100101110000100101100000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000100111100010011001011100001001001000000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001001111000100110010111000010010010000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010011110001001100101010000100101010000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000100111100010011001010100001001010100000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001001111000100110010101000010010001000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010011110001001100101010000100100010000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000100111100010011001010000001001010100000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001001111000100110010100000010010101000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000010011110001001100101000000100100010000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000100111100010011001010000001001000100000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001001011000110110010110000010010101000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010010110001101100101100000100101010000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000100101100011011001011000001001000100000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001001011000110110010110000010010001000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010010110001101100101110111000101010000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000100101100011011001011101110001010100000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001001011000110110010111011100010001000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010010110001101100101110111000100010000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000100101100011011001010101110001010000000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001001011000110110010101011100010100000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010010110001101100101010111000100000000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000100101100011011001010101110001000000000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001001011000110110010100011100010100000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000010010110001101100101000111000101000000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000100101100011011001010001110001000000000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001001011000110110010100011100010000000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010010110001000100101100111000101000000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000100101100010001001011001110001010000000011001011000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001001011000100010010110011100010000000000110010110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010010110001000100101100111000100000000001100101100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000100101100010001001011110001101010000000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001001011000100010010111100011010100000000110010110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010010110001000100101111000110100000000001100101100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000100101100010001001011110001101000000000011001011000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001001011000100010010101100011010110000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010010110001000100101011000110101100000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000100101100010001001010110001101001000001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001001011000100010010101100011010010000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010010110001000100101001000110101100000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000100101100010001001010010001101011000001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001001011000100010010100100011010010000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000010010110001000100101001000110100100000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000100111100010001001011010001101011000001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001001111000100010010110100011010110000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010011110001000100101101000110100100000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000100111100010001001011010001101001000001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001001111000100010010111000000000110000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010011110001000100101110000000001100000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000100111100010001001011100000000001000001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001001111000100010010111000000000010000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010011110001000100101010000000001010000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000100111100010001001010100000000010100001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001001111000100010010101000000000001000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010011110001000100101010000000000010000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000100111100010001001010000000000010100001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001001111000100010010100000000000101000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000010011110001000100101000000000000010000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000100111100010001001010000000000000100001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000101000000000000000010111111110101000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001010000000000000000101111111101010000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000010100000000000000001011111111000100001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000101000000000000000010111111110001000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001010000000000000000111001100101010000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000010100000000000000001110011001010100001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000101000000000000000011100110010001000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001010000000000000000111001100100010000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000010100000000000000000110011001011100001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000101000000000000000001100110010111000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001010000000000000000011001100100110000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000010100000000000000000110011001001100001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000101000000000000000000100110010111000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000001010000000000000000001001100101110000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000010100000000000000000010011001001100001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000101000000000000000000100110010011000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001011000000000000000101001100101110000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000010110000000000000001010011001011100001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000101100000000000000010100110010011000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001011000000000000000101001100100110000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000010110000000000000001111110001011100001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000101100000000000000011111100010111000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001011000000000000000111111000100110000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000010110000000000000001111110001001100001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000101100000000000000001111100010100100010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001011000000000000000011111000101001000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000010110000000000000000111110001000010001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000101100000000000000001111100010000100010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001011000000000000000001111000101001000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000010110000000000000000011110001010010001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000101100000000000000000111100010000100010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000001011000000000000000001111000100001000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000010111100010000101111011111111010010001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000101111000100001011110111111110100100010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001011110001000010111101111111100001000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000010111100010000101111011111111000010001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000101111000100001011111001011100100100010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001011110001000010111110010111001001000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000010111100010000101111100101110000010001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000101111000100001011111001011100000100010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001011110001000010111010010111001000000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000010111100010000101110100101110010000001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000101111000100001011101001011100000000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001011110001000010111010010111000000000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000010111100010000101110000101110010000001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000101111000100001011100001011100100000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000001011110001000010111000010111000000000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000010111100010000101110000101110000000001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000101011000110010010110001011100100000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001010110001100100101100010111001000000100011011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000010101100011001001011000101110000000001000110111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000101011000110010010110001011100000000010001101110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001010110001100100101111011000101000000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000010101100011001001011110110001010000001000110111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000101011000110010010111101100010000000010001101110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001010110001100100101111011000100000000100011011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000010101100011001001010110110001011000001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000101011000110010010101101100010110000010110001110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001010110001100100101011011000100100000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000010101100011001001010110110001001000001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000101011000110010010100101100010110000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000001010110001100100101001011000101100000101100011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000010101100011001001010010110001001000001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000101011000110010010100101100010010000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001011110001100100101101011000101100000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000010111100011001001011010110001011000001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000101111000110010010110101100010010000010110001110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001011110001100100101101011000100100000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000010111100011001001011111100000011000001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000101111000110010010111111000000110000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001011110001100100101111110000000100000101100011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000010111100011001001011111100000001000001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000101111000110010010101111000000101000010110001110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001011110001100100101011110000001010000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000010111100011001001010111100000000100001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000101111000110010010101111000000001000010110001110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001011110001100100101001110000001010000101100011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000010111100011001001010011100000010100001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000101111000110010010100111000000001000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000001011110001100100101001110000000010000101100011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000010101100010101001011011100000010100001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000101011000101010010110111000000101000010110001110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001010110001010100101101110000000010000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000010101100010101001011011100000000100001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000101011000101010010111000001000101000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001010110001010100101110000010001010000101100011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000010101100010101001011100000100000100001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000101011000101010010111000001000001000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001010110001010100101010000010001110000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000010101100010101001010100000100011100001011000111000100100110011000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000101011000101010010101000001000011000010110001110001001001100110000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001010110001010100101010000010000110000101100011100010010011001100000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000010101100010101001010000000100011100001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000101011000101010010100000001000111000010110001110001001001100100000000000000100000000000000000011100000000000001101001001000100100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000001010110001010100101000000010000110000101100011100010010011001000000000000001000000000000000000111000000000000011010010010001001001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000010101100010101001010000000100001100001011000111000100100110010000000000000010000000000000000001110000000000000110100100100010010011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000101100010011000000010001011100111000010110001110001001001100010000000000000100000000000000000011000000000000000101001001000100100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001011000100110000000100010111001110000101100011100010010011000100000000000001000000000000000000110000000000000001010010010001001001100001011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000010110001001100000001000101110001100001011000111000100100110001000000000000010000000000000000001100000000000000010100100100010010011000010111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000101100010011000000010001011100011000010110001110001001001100010000000000000100000000000000000011000000000000000101001001000100100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001011000100110000000110010111001110000101100011100010010011000000000000001011100000000000000000100000000000000001010010010001001001100001011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000010110001001100000001100101110011100001011000111000100100110000000000000010111000000000000000001000000000000000010100100100010010011000010111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000101100010011000000011001011100011000010110001110001001001100000000000000101110000000000000000010000000000000000101001001000100100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001011000100110000000110010111000110000101100011100010010011000000000000001011100000000000000000100000000000000001010010010001001001100001011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000010110001001100000000100101110010000001011000111000100100110001000000000010111000000000000000001000000000000000010100100100010010011000010111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000101100010011000000001001011100100000010110001110001001001100010000000000101110000000000000000010000000000000000101001001000100100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001011000100110000000010010111000000000101100011100010010011000100000000001011100000000000000000100000000000000001010010010001001001100001011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000010110001001100000000100101110000000001011000111000100100110001000000000010111000000000000000001000000000000000010100100100010010011000010111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000101100010011000000000001011100100000010110001110001001001100000000000000101110000000000000000010000000000000000101001001000100100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000001011000100110000000000010111001000000101100011100010010011000000000000001011100000000000000000100000000000000001010010010001001001100001011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000010110001001100000000000101110000000001011000111000100100110000000000000010111000000000000000001000000000000000010100100100010010011000010111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000101100010011000000000001011100000000010110001110001001001100000000000000101110000000000000000010000000000000000101001001000100100110000101110000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001011110001010100101101111111101000000101100011100010010011001100000000001011100000000000000000101000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000010111100010101001011011111111010000001011000111000100100110011000000000010111000000000000000001010000000000000110100100100010010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000101111000101010010110111111110000000010110001110001001001100110000000000101110000000000000000010100000000000001101001001000100100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001011110001010100101101111111100000000101100011100010010011001100000000001011100000000000000000101000000000000011010010010001001001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000010111100010101001011100001111010000001011000110000110100110010000000000010111000000000000000000110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000101111000101010010111000011110100000010110001100001101001100100000000000101110000000000000000001100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001011110001010100101110000111100000000101100011000011010011001000000000001011100000000000000000011000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000010111100010101001011100001111000000001011000110000110100110010000000000010111000000000000000000110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000101111000101010010101000011110110000000001111100001101001100110000000000101110000000000000000001100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001011110001010100101010000111101100000000011111000011010011001100000000001011100000000000000000011000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000010111100010101001010100001111001000000000111110000110100110011000000000010111000000000000000000110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000101111000101010010101000011110010000000001111100001101001100110000000000101110000000000000000001100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001011110001010100101000000111101100000000011111000011010011001000000000001011100000000000000000011000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000010111100010101001010000001111011000000000111110000110100110010000000000010111000000000000000000110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000101111000101010010100000011110010000000001111100001101001100100000000000101110000000000000000001100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000001011110001010100101000000111100100000000011111000011010011001000000000001011100000000000000000011000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000010101100011101001011000001111011000000000111110000110100110011000000000010111000000000000000000110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000101011000111010010110000011110110000000001111100001101001100110000000000101110000000000000000001100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001010110001110100101100000111100100000000011111000011010011001100000000001011100000000000000000011000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000010101100011101001011000001111001000000000111110000110100110011000000000010111000000000000000000110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000101011000111010010111001000000110000000001111100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001010110001110100101110010000001100000000011111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000010101100011101001011100100000001000000000111110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000101011000111010010111001000000010000000001111100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001010110001110100101010010000001000000000011111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000010101100011101001010100100000010000000000111110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000101011000111010010101001000000000000000001111100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001010110001110100101010010000000000000000011111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000010101100011101001010000100000010000000000111110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000101011000111010010100001000000100000000001111100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000001010110001110100101000010000000000000000011111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000010101100011101001010000100000000000000000111110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000101111000111010010110001000000100000000001111100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001011110001110100101100010000001000000000011111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000010111100011101001011000100000000000000000111110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000101111000111010010110001000000000000000001111100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001011110001110100101110001001101000000000011111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000010111100011101001011100010011010000000000111110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000101111000111010010111000100110000000000001111100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001011110001110100101110001001100000000000011111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000010111100011101001010100010011011000000001001110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000101111000111010010101000100110110000000010011100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001011110001110100101010001001100100000000100111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000010111100011101001010100010011001000000001001110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000101111000111010010100000100110110000000010011100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000001011110001110100101000001001101100000000100111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000010111100011101001010000010011001000000001001110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000101111000111010010100000100110010000000010011100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001011110001101111101100001001101100000000100111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000010111100011011111011000010011011000000001001110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000101111000110111110110000100110010000000010011100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001011110001101111101100001001100100000000100111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000010111100011011111011100000000011000000001001110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000101111000110111110111000000000110000000010011100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001011110001101111101110000000000100000000100111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000010111100011011111011100000000001000000001001110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000101111000110111110101000000000100000000010011100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001011110001101111101010000000001000000000100111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000010111100011011111010100000000000000000001001110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000101111000110111110101000000000000000000010011100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001011110001101111101000000000001000000000100111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000010111100011011111010000000000010000000001001110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000101111000110111110100000000000000000000010011100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000001011110001101111101000000000000000000000100111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000010101100010011001011000000000010000000001001110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000101011000100110010110000000000100000000010011100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001010110001001100101100000000000000000000100111000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000010101100010011001011000000000000000000001001110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000101011000100110010111001100100100000000010011100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001010110001001100101110011001001000000000100111000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000010101100010011001011100110010000000000001001110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000101011000100110010111001100100000000000010011100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001010110001001100101010011001001100000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000010101100010011001010100110010011000000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000101011000100110010101001100100010000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001010110001001100101010011001000100000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000010101100010011001010000110010011000000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000101011000100110010100001100100110000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000001010110001001100101000011001000100000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000010101100010011001010000110010001000000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000101111000100110010110001100100110000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001011110001001100101100011001001100000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000010111100010011001011000110010001000000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000101111000100110010110001100100010000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001011110001001100101110000100101100000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000010111100010011001011100001001011000000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000101111000100110010111000010010010000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001011110001001100101110000100100100000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000010111100010011001010100001001010100000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000101111000100110010101000010010101000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001011110001001100101010000100100010000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000010111100010011001010100001001000100000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000101111000100110010100000010010101000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000001011110001001100101000000100101010000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000010111100010011001010000001001000100000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000101111000100110010100000010010001000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001010110001101100101100000100101010000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000010101100011011001011000001001010100000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000101011000110110010110000010010001000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001010110001101100101100000100100010000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000010101100011011001011101110001010100000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000101011000110110010111011100010101000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001010110001101100101110111000100010000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000010101100011011001011101110001000100000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000101011000110110010101011100010100000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001010110001101100101010111000101000000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000010101100011011001010101110001000000000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000101011000110110010101011100010000000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001010110001101100101000111000101000000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000010101100011011001010001110001010000000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000101011000110110010100011100010000000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000001010110001101100101000111000100000000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000010101100010001001011001110001010000000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000101011000100010010110011100010100000000110010100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000001010110001000100101100111000100000000001100101000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000010101100010001001011001110001000000000011001010000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000101011000100010010111100011010100000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000001010110001000100101111000110101000000001100101000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000010101100010001001011110001101000000000011001010000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000101011000100010010111100011010000000000110010100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000001010110001000100101011000110101100000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000010101100010001001010110001101011000001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000101011000100010010101100011010010000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000001010110001000100101011000110100100000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000010101100010001001010010001101011000001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000101011000100010010100100011010110000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000001010110001000100101001000110100100000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000010101100010001001010010001101001000001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000101111000100010010110100011010110000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000001011110001000100101101000110101100000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000010111100010001001011010001101001000001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000101111000100010010110100011010010000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000001011110001000100101110000000001100000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000010111100010001001011100000000011000001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000101111000100010010111000000000010000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000001011110001000100101110000000000100000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000010111100010001001010100000000010100001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000101111000100010010101000000000101000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000001011110001000100101010000000000010000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000010111100010001001010100000000000100001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000101111000100010010100000000000101000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000001011110001000100101000000000001010000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000010111100010001001010000000000000100001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000101111000100010010100000000000001000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000001010000000000000000101111111101010000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000010100000000000000001011111111010100001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000101000000000000000010111111110001000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000001010000000000000000101111111100010000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000010100000000000000001110011001010100001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000101000000000000000011100110010101000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000001010000000000000000111001100100010000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000010100000000000000001110011001000100001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000101000000000000000001100110010111000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000001010000000000000000011001100101110000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000010100000000000000000110011001001100001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000101000000000000000001100110010011000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000001010000000000000000001001100101110000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000010100000000000000000010011001011100001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000101000000000000000000100110010011000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000001010000000000000000001001100100110000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000010110000000000000001010011001011100001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000101100000000000000010100110010111000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000001011000000000000000101001100100110000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000010110000000000000001010011001001100001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000101100000000000000011111100010111000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000001011000000000000000111111000101110000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000010110000000000000001111110001001100001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000101100000000000000011111100010011000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000001011000000000000000011111000101001000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000010110000000000000000111110001010010001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000101100000000000000001111100010000100010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000001011000000000000000011111000100001000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000010110000000000000000011110001010010001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000101100000000000000000111100010100100010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000001011000000000000000001111000100001000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000010110000000000000000011110001000010001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000101011000110001011110111111110100100010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000001010110001100010111101111111101001000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000010101100011000101111011111111000010001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000101011000110001011110111111110000100010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000001010110001100010111111010011001001000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000010101100011000101111110100110010010001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000101011000110001011111101001100000100010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000001010110001100010111111010011000001000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000010101100011000101110110100110010000001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000101011000110001011101101001100100000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000001010110001100010111011010011000000000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000010101100011000101110110100110000000001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000101011000110001011100101001100100000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000001010110001100010111001010011001000000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000010101100011000101110010100110000000001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000101011000110001011100101001100000000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000001010110001100100101101010011001000000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000010101100011001001011010100110010000001000110110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000101011000110010010110101001100000000010001101100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000001010110001100100101101010011000000000100011011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000010101100011001001011110110001010000001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000101011000110010010111101100010100000010001101100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000001010110001100100101111011000100000000100011011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000010101100011001001011110110001000000001000110110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000101011000110010010101101100010110000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000001010110001100100101011011000101100000101100011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000010101100011001001010110110001001000001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000101011000110010010101101100010010000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000001010110001100100101001011000101100000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000010101100011001001010010110001011000001011000110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000101011000110010010100101100010010000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000001010110001100100101001011000100100000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000010111100011001001011010110001011000001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000101111000110010010110101100010110000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000001011110001100100101101011000100100000101100011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000010111100011001001011010110001001000001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000101111000110010010111111000000110000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000001011110001100100101111110000001100000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000010111100011001001011111100000001000001011000110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000101111000110010010111111000000010000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000001011110001100100101011110000001010000101100011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000010111100011001001010111100000010100001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000101111000110010010101111000000001000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000001011110001100100101011110000000010000101100011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000010111100011001001010011100000010100001011000110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000101111000110010010100111000000101000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000001011110001100100101001110000000010000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000010111100011001001010011100000000100001011000110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000101011000101010010110111000000101000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000001010110001010100101101110000001010000101100011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000010101100010101001011011100000000100001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000101011000101010010110111000000001000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000001010110001010100101110000010001010000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000010101100010101001011100000100010100001011000110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000101011000101010010111000001000001000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000001010110001010100101110000010000010000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000010101100010101001010100000100011100001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000101011000101010010101000001000111000010110001100001101001100110000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000001010110001010100101010000010000110000101100011000011010011001100000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000010101100010101001010100000100001100001011000110000110100110011000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000101011000101010010100000001000111000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000001010110001010100101000000010001110000101100011000011010011001000000000001011100000000000000000111000000000000011010010000001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000010101100010101001010000000100001100001011000110000110100110010000000000010111000000000000000001110000000000000110100100000011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000101011000101010010100000001000011000010110001100001101001100100000000000101110000000000000000011100000000000001101001000000110100110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000001011000100110000000101010011001110000101100011000011010011000100000000001011100000000000000000110000000000000001010010000001101001100101001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000010110001001100000001010100110011100001011000110000110100110001000000000010111000000000000000001100000000000000010100100000011010011001010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000101100010011000000010101001100011000010110001100001101001100010000000000101110000000000000000011000000000000000101001000000110100110010100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000001011000100110000000101010011000110000101100011000011010011000100000000001011100000000000000000110000000000000001010010000001101001100101001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000010110001001100000001110100110011100001011000110000110100110000000000001010011000000000000000001000000000000000010100100000011010011001010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000101100010011000000011101001100111000010110001100001101001100000000000010100110000000000000000010000000000000000101001000000110100110010100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000001011000100110000000111010011000110000101100011000011010011000000000000101001100000000000000000100000000000000001010010000001101001100101001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000010110001001100000001110100110001100001011000110000110100110000000000001010011000000000000000001000000000000000010100100000011010011001010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000101100010011000000001101001100100000010110001100001101001100010000000010100110000000000000000010000000000000000101001000000110100110010100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000001011000100110000000011010011001000000101100011000011010011000100000000101001100000000000000000100000000000000001010010000001101001100101001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000010110001001100000000110100110000000001011000110000110100110001000000001010011000000000000000001000000000000000010100100000011010011001010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000101100010011000000001101001100000000010110001100001101001100010000000010100110000000000000000010000000000000000101001000000110100110010100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000001011000100110000000001010011001000000101100011000011010011000000000000101001100000000000000000100000000000000001010010000001101001100101001100000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000010110001001100000000010100110010000001011000110000110100110000000000001010011000000000000000001000000000000000010100100000011010011001010011000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000101100010011000000000101001100000000010110001100001101001100000000000010100110000000000000000010000000000000000101001000000110100110010100110000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000001011000100110000000001010011000000000101100011000011010011000000000000101001100000000000000000100000000000000001010010000001101001100101001100000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000010111100010101001011011111111010000001011000110000110100110011000000001010011000000000000000001010000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000101111000101010010110111111110100000010110001100001101001100110000000010100110000000000000000010100000000000001101001000000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000001011110001010100101101111111100000000101100011000011010011001100000000101001100000000000000000101000000000000011010010000001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000010111100010101001011011111111000000001011000110000110100110011000000001010011000000000000000001010000000000000110100100000011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000101111000101010010111000011110100000010110001110001101001100100000000010100110000000000000000001100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000001011110001010100101110000111101000000101100011100011010011001000000000101001100000000000000000011000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000010111100010101001011100001111000000001011000111000110100110010000000001010011000000000000000000110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000101111000101010010111000011110000000010110001110001101001100100000000010100110000000000000000001100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000001011110001010100101010000111101100000000011111100011010011001100000000101001100000000000000000011000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000010111100010101001010100001111011000000000111111000110100110011000000001010011000000000000000000110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000101111000101010010101000011110010000000001111110001101001100110000000010100110000000000000000001100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000001011110001010100101010000111100100000000011111100011010011001100000000101001100000000000000000011000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000010111100010101001010000001111011000000000111111000110100110010000000001010011000000000000000000110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000101111000101010010100000011110110000000001111110001101001100100000000010100110000000000000000001100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000001011110001010100101000000111100100000000011111100011010011001000000000101001100000000000000000011000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000010111100010101001010000001111001000000000111111000110100110010000000001010011000000000000000000110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000101011000111010010110000011110110000000001111110001101001100110000000010100110000000000000000001100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000001010110001110100101100000111101100000000011111100011010011001100000000101001100000000000000000011000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000010101100011101001011000001111001000000000111111000110100110011000000001010011000000000000000000110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000101011000111010010110000011110010000000001111110001101001100110000000010100110000000000000000001100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000001010110001110100101110010000001100000000011111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000010101100011101001011100100000011000000000111111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000101011000111010010111001000000010000000001111110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000001010110001110100101110010000000100000000011111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000010101100011101001010100100000010000000000111111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000101011000111010010101001000000100000000001111110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000001010110001110100101010010000000000000000011111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000010101100011101001010100100000000000000000111111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000101011000111010010100001000000100000000001111110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000001010110001110100101000010000001000000000011111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000010101100011101001010000100000000000000000111111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000101011000111010010100001000000000000000001111110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001011110001110100101100010000001000000000011111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000010111100011101001011000100000010000000000111111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000101111000111010010110001000000000000000001111110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001011110001110100101100010000000000000000011111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000010111100011101001011100010011010000000000111111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000101111000111010010111000100110100000000001111110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001011110001110100101110001001100000000000011111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000010111100011101001011100010011000000000000111111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000101111000111010010101000100110110000000010011110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001011110001110100101010001001101100000000100111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000010111100011101001010100010011001000000001001111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000101111000111010010101000100110010000000010011110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001011110001110100101000001001101100000000100111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000010111100011101001010000010011011000000001001111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000101111000111010010100000100110010000000010011110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000001011110001110100101000001001100100000000100111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000010111100011011111011000010011011000000001001111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000101111000110111110110000100110110000000010011110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001011110001101111101100001001100100000000100111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000010111100011011111011000010011001000000001001111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000101111000110111110111000000000110000000010011110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001011110001101111101110000000001100000000100111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000010111100011011111011100000000001000000001001111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000101111000110111110111000000000010000000010011110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001011110001101111101010000000001000000000100111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000010111100011011111010100000000010000000001001111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000101111000110111110101000000000000000000010011110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001011110001101111101010000000000000000000100111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000010111100011011111010000000000010000000001001111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000101111000110111110100000000000100000000010011110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000001011110001101111101000000000000000000000100111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000010111100011011111010000000000000000000001001111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000101011000100110010110000000000100000000010011110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001010110001001100101100000000001000000000100111100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000010101100010011001011000000000000000000001001111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000101011000100110010110000000000000000000010011110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001010110001001100101110011001001000000000100111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000010101100010011001011100110010010000000001001111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000101011000100110010111001100100000000000010011110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001010110001001100101110011001000000000000100111100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000010101100010011001010100110010011000000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000101011000100110010101001100100110000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001010110001001100101010011001000100000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000010101100010011001010100110010001000000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000101011000100110010100001100100110000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000001010110001001100101000011001001100000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000010101100010011001010000110010001000000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000101011000100110010100001100100010000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001011110001001100101100011001001100000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000010111100010011001011000110010011000000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000101111000100110010110001100100010000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001011110001001100101100011001000100000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000010111100010011001011100001001011000000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000101111000100110010111000010010110000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001011110001001100101110000100100100000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000010111100010011001011100001001001000000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000101111000100110010101000010010101000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001011110001001100101010000100101010000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000010111100010011001010100001001000100000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000101111000100110010101000010010001000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001011110001001100101000000100101010000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000010111100010011001010000001001010100000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000101111000100110010100000010010001000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000001011110001001100101000000100100010000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000010101100011011001011000001001010100000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000101011000110110010110000010010101000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001010110001101100101100000100100010000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000010101100011011001011000001001000100000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000101011000110110010111011100010101000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001010110001101100101110111000101010000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000010101100011011001011101110001000100000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000101011000110110010111011100010001000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001010110001101100101010111000101000000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000010101100011011001010101110001010000000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000101011000110110010101011100010000000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001010110001101100101010111000100000000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000010101100011011001010001110001010000000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000101011000110110010100011100010100000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000001010110001101100101000111000100000000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000010101100011011001010001110001000000000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000101011000100010010110011100010100000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001010110001000100101100111000101000000001100101100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000010101100010001001011001110001000000000011001011000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000101011000100010010110011100010000000000110010110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001010110001000100101111000110101000000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000010101100010001001011110001101010000000011001011000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000101011000100010010111100011010000000000110010110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001010110001000100101111000110100000000001100101100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000010101100010001001010110001101011000001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000101011000100010010101100011010110000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001010110001000100101011000110100100000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000010101100010001001010110001101001000001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000101011000100010010100100011010110000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000001010110001000100101001000110101100000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000010101100010001001010010001101001000001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000101011000100010010100100011010010000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001011110001000100101101000110101100000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000010111100010001001011010001101011000001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000101111000100010010110100011010010000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001011110001000100101101000110100100000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000010111100010001001011100000000011000001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000101111000100010010111000000000110000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001011110001000100101110000000000100000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000010111100010001001011100000000001000001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000101111000100010010101000000000101000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001011110001000100101010000000001010000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000010111100010001001010100000000000100001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000101111000100010010101000000000001000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001011110001000100101000000000001010000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000010111100010001001010000000000010100001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000000101111000100010010100000000000001000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000001011110001000100101000000000000010000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000010100000000000000001011111111010100001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000101000000000000000010111111110101000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001010000000000000000101111111100010000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000010100000000000000001011111111000100001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000101000000000000000011100110010101000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001010000000000000000111001100101010000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000010100000000000000001110011001000100001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000101000000000000000011100110010001000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001010000000000000000011001100101110000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000010100000000000000000110011001011100001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000101000000000000000001100110010011000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001010000000000000000011001100100110000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000010100000000000000000010011001011100001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100000101000000000000000000100110010111000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000001010000000000000000001001100100110000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000010100000000000000000010011001001100001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000101100000000000000010100110010111000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001011000000000000000101001100101110000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000010110000000000000001010011001001100001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000101100000000000000010100110010011000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001011000000000000000111111000101110000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000010110000000000000001111110001011100001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000101100000000000000011111100010011000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001011000000000000000111111000100110000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000010110000000000000000111110001010010001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000101100000000000000001111100010100100010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001011000000000000000011111000100001000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000010110000000000000000111110001000010001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000101100000000000000000111100010100100010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000001011000000000000000001111000101001000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000010110000000000000000011110001000010001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100000101100000000000000000111100010000100010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001011110001100010111101111111101001000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000010111100011000101111011111111010010001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000101111000110001011110111111110000100010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001011110001100010111101111111100001000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000010111100011000101111111001110010010001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000101111000110001011111110011100100100010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001011110001100010111111100111000001000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000010111100011000101111111001110000010001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000101111000110001011101110011100100000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001011110001100010111011100111001000000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000010111100011000101110111001110000000001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000101111000110001011101110011100000000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001011110001100010111001100111001000000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000010111100011000101110011001110010000001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100000101111000110001011100110011100000000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000001011110001100010111001100111000000000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000010101100011001001011011001110010000001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000101011000110010010110110011100100000010001101110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001010110001100100101101100111000000000100011011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000010101100011001001011011001110000000001000110111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000101011000110010010111101100010100000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001010110001100100101111011000101000000100011011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000010101100011001001011110110001000000001000110111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000101011000110010010111101100010000000010001101110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001010110001100100101011011000101100000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000010101100011001001010110110001011000001011000111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000101011000110010010101101100010010000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001010110001100100101011011000100100000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000010101100011001001010010110001011000001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100000101011000110010010100101100010110000010110001110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000001010110001100100101001011000100100000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000010101100011001001010010110001001000001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000101111000110010010110101100010110000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001011110001100100101101011000101100000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000010111100011001001011010110001001000001011000111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000101111000110010010110101100010010000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001011110001100100101111110000001100000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000010111100011001001011111100000011000001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000101111000110010010111111000000010000010110001110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001011110001100100101111110000000100000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000010111100011001001010111100000010100001011000111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000101111000110010010101111000000101000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001011110001100100101011110000000010000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000010111100011001001010111100000000100001011000111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000101111000110010010100111000000101000010110001110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000001011110001100100101001110000001010000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000010111100011001001010011100000000100001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100000101111000110010010100111000000001000010110001110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001010110001010100101101110000001010000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000010101100010101001011011100000010100001011000111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000101011000101010010110111000000001000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001010110001010100101101110000000010000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000010101100010101001011100000100010100001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000101011000101010010111000001000101000010110001110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001010110001010100101110000010000010000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000010101100010101001011100000100000100001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000101011000101010010101000001000111000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001010110001010100101010000010001110000101100011100011010011001100000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000010101100010101001010100000100001100001011000111000110100110011000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000101011000101010010101000001000011000010110001110001101001100110000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001010110001010100101000000010001110000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000010101100010101001010000000100011100001011000111000110100110010000000001010011000000000000000001110000000000000110100100100011010011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100000101011000101010010100000001000011000010110001110001101001100100000000010100110000000000000000011100000000000001101001001000110100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000001010110001010100101000000010000110000101100011100011010011001000000000101001100000000000000000111000000000000011010010010001101001100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000010110001001100000001011001110011100001011000111000110100110001000000001010011000000000000000001100000000000000010100100100011010011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000101100010011000000010110011100111000010110001110001101001100010000000010100110000000000000000011000000000000000101001001000110100110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001011000100110000000101100111000110000101100011100011010011000100000000101001100000000000000000110000000000000001010010010001101001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000010110001001100000001011001110001100001011000111000110100110001000000001010011000000000000000001100000000000000010100100100011010011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000101100010011000000011110011100111000010110001110001101001100000000000011001110000000000000000010000000000000000101001001000110100110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001011000100110000000111100111001110000101100011100011010011000000000000110011100000000000000000100000000000000001010010010001101001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000010110001001100000001111001110001100001011000111000110100110000000000001100111000000000000000001000000000000000010100100100011010011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000101100010011000000011110011100011000010110001110001101001100000000000011001110000000000000000010000000000000000101001001000110100110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001011000100110000000011100111001000000101100011100011010011000100000000110011100000000000000000100000000000000001010010010001101001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000010110001001100000000111001110010000001011000111000110100110001000000001100111000000000000000001000000000000000010100100100011010011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000101100010011000000001110011100000000010110001110001101001100010000000011001110000000000000000010000000000000000101001001000110100110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001011000100110000000011100111000000000101100011100011010011000100000000110011100000000000000000100000000000000001010010010001101001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000010110001001100000000011001110010000001011000111000110100110000000000001100111000000000000000001000000000000000010100100100011010011001100111000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100000101100010011000000000110011100100000010110001110001101001100000000000011001110000000000000000010000000000000000101001001000110100110011001110000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000001011000100110000000001100111000000000101100011100011010011000000000000110011100000000000000000100000000000000001010010010001101001100110011100000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000010110001001100000000011001110000000001011000111000110100110000000000001100111000000000000000001000000000000000010100100100011010011001100111000001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000101111000101010010110111111110100000010110001110001101001100110000000011001110000000000000000010100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001011110001010100101101111111101000000101100011100011010011001100000000110011100000000000000000101000000000000011010010010001101001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000010111100010101001011011111111000000001011000111000110100110011000000001100111000000000000000001010000000000000110100100100011010011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000101111000101010010110111111110000000010110001110001101001100110000000011001110000000000000000010100000000000001101001001000110100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001011110001010100101110000111101000000101100011000010110011001000000000110011100000000000000000011000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000010111100010101001011100001111010000001011000110000101100110010000000001100111000000000000000000110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000101111000101010010111000011110000000010110001100001011001100100000000011001110000000000000000001100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001011110001010100101110000111100000000101100011000010110011001000000000110011100000000000000000011000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000010111100010101001010100001111011000000000111110000101100110011000000001100111000000000000000000110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000101111000101010010101000011110110000000001111100001011001100110000000011001110000000000000000001100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001011110001010100101010000111100100000000011111000010110011001100000000110011100000000000000000011000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000010111100010101001010100001111001000000000111110000101100110011000000001100111000000000000000000110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000101111000101010010100000011110110000000001111100001011001100100000000011001110000000000000000001100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000001011110001010100101000000111101100000000011111000010110011001000000000110011100000000000000000011000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000010111100010101001010000001111001000000000111110000101100110010000000001100111000000000000000000110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100000101111000101010010100000011110010000000001111100001011001100100000000011001110000000000000000001100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001010110001110100101100000111101100000000011111000010110011001100000000110011100000000000000000011000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000010101100011101001011000001111011000000000111110000101100110011000000001100111000000000000000000110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000101011000111010010110000011110010000000001111100001011001100110000000011001110000000000000000001100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001010110001110100101100000111100100000000011111000010110011001100000000110011100000000000000000011000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000010101100011101001011100100000011000000000111110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000101011000111010010111001000000110000000001111100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001010110001110100101110010000000100000000011111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000010101100011101001011100100000001000000000111110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000101011000111010010101001000000100000000001111100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001010110001110100101010010000001000000000011111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000010101100011101001010100100000000000000000111110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000101011000111010010101001000000000000000001111100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001010110001110100101000010000001000000000011111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000010101100011101001010000100000010000000000111110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100000101011000111010010100001000000000000000001111100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000001010110001110100101000010000000000000000011111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000010111100011101001011000100000010000000000111110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000101111000111010010110001000000100000000001111100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001011110001110100101100010000000000000000011111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000010111100011101001011000100000000000000000111110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000101111000111010010111000100110100000000001111100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001011110001110100101110001001101000000000011111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000010111100011101001011100010011000000000000111110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000101111000111010010111000100110000000000001111100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001011110001110100101010001001101100000000100111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000010111100011101001010100010011011000000001001110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000101111000111010010101000100110010000000010011100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001011110001110100101010001001100100000000100111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000010111100011101001010000010011011000000001001110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100000101111000111010010100000100110110000000010011100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000001011110001110100101000001001100100000000100111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000010111100011101001010000010011001000000001001110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000101111000110111110110000100110110000000010011100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001011110001101111101100001001101100000000100111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000010111100011011111011000010011001000000001001110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000101111000110111110110000100110010000000010011100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001011110001101111101110000000001100000000100111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000010111100011011111011100000000011000000001001110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000101111000110111110111000000000010000000010011100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001011110001101111101110000000000100000000100111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000010111100011011111010100000000010000000001001110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000101111000110111110101000000000100000000010011100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001011110001101111101010000000000000000000100111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000010111100011011111010100000000000000000001001110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000101111000110111110100000000000100000000010011100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000001011110001101111101000000000001000000000100111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000010111100011011111010000000000000000000001001110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000101111000110111110100000000000000000000010011100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001010110001001100101100000000001000000000100111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000010101100010011001011000000000010000000001001110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000101011000100110010110000000000000000000010011100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001010110001001100101100000000000000000000100111000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000010101100010011001011100110010010000000001001110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000101011000100110010111001100100100000000010011100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001010110001001100101110011001000000000000100111000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000010101100010011001011100110010000000000001001110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000101011000100110010101001100100110000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001010110001001100101010011001001100000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000010101100010011001010100110010001000000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000101011000100110010101001100100010000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001010110001001100101000011001001100000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000010101100010011001010000110010011000000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000101011000100110010100001100100010000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000001010110001001100101000011001000100000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000010111100010011001011000110010011000000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000101111000100110010110001100100110000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001011110001001100101100011001000100000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000010111100010011001011000110010001000000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000101111000100110010111000010010110000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001011110001001100101110000100101100000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000010111100010011001011100001001001000000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000101111000100110010111000010010010000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001011110001001100101010000100101010000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000010111100010011001010100001001010100000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000101111000100110010101000010010001000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001011110001001100101010000100100010000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000010111100010011001010000001001010100000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000101111000100110010100000010010101000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000001011110001001100101000000100100010000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000010111100010011001010000001001000100000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000101011000110110010110000010010101000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001010110001101100101100000100101010000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000010101100011011001011000001001000100000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000101011000110110010110000010010001000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001010110001101100101110111000101010000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000010101100011011001011101110001010100000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000101011000110110010111011100010001000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001010110001101100101110111000100010000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000010101100011011001010101110001010000000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000101011000110110010101011100010100000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001010110001101100101010111000100000000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000010101100011011001010101110001000000000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000101011000110110010100011100010100000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000001010110001101100101000111000101000000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000010101100011011001010001110001000000000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000101011000110110010100011100010000000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001010110001000100101100111000101000000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000010101100010001001011001110001010000000011001010000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000101011000100010010110011100010000000000110010100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001010110001000100101100111000100000000001100101000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000010101100010001001011110001101010000000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000101011000100010010111100011010100000000110010100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001010110001000100101111000110100000000001100101000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000010101100010001001011110001101000000000011001010000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000101011000100010010101100011010110000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001010110001000100101011000110101100000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000010101100010001001010110001101001000001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000101011000100010010101100011010010000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001010110001000100101001000110101100000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000010101100010001001010010001101011000001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000101011000100010010100100011010010000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000001010110001000100101001000110100100000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000010111100010001001011010001101011000001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000101111000100010010110100011010110000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001011110001000100101101000110100100000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000010111100010001001011010001101001000001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000101111000100010010111000000000110000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001011110001000100101110000000001100000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000010111100010001001011100000000001000001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000101111000100010010111000000000010000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001011110001000100101010000000001010000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000010111100010001001010100000000010100001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000101111000100010010101000000000001000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001011110001000100101010000000000010000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000010111100010001001010000000000010100001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000101111000100010010100000000000101000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000001011110001000100101000000000000010000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000010111100010001001010000000000000100001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000101000000000000000010111111110101000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001010000000000000000101111111101010000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000010100000000000000001011111111000100001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000101000000000000000010111111110001000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001010000000000000000111001100101010000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000010100000000000000001110011001010100001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000101000000000000000011100110010001000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001010000000000000000111001100100010000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000010100000000000000000110011001011100001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000101000000000000000001100110010111000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001010000000000000000011001100100110000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000010100000000000000000110011001001100001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000101000000000000000000100110010111000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000001010000000000000000001001100101110000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000010100000000000000000010011001001100001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000101000000000000000000100110010011000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001011000000000000000101001100101110000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000010110000000000000001010011001011100001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000101100000000000000010100110010011000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001011000000000000000101001100100110000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000010110000000000000001111110001011100001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000101100000000000000011111100010111000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001011000000000000000111111000100110000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000010110000000000000001111110001001100001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000101100000000000000001111100010100100010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001011000000000000000011111000101001000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000010110000000000000000111110001000010001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000101100000000000000001111100010000100010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001011000000000000000001111000101001000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000010110000000000000000011110001010010001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000101100000000000000000111100010000100010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000001011000000000000000001111000100001000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000010101100010100101111011111111010010001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000101011000101001011110111111110100100010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001010110001010010111101111111100001000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000010101100010100101111011111111000010001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000101011000101001011111001011100100100010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001010110001010010111110010111001001000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000010101100010100101111100101110000010001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000101011000101001011111001011100000100010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001010110001010010111010010111001000000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000010101100010100101110100101110010000001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000101011000101001011101001011100000000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001010110001010010111010010111000000000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000010101100010100101110000101110010000001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000101011000101001011100001011100100000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000001010110001010010111000010111000000000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000010101100010100101110000101110000000001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000101011000110010010110001011100100000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001010110001100100101100010111001000000100011011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000010101100011001001011000101110000000001000110110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000101011000110010010110001011100000000010001101100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001010110001100100101111011000101000000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000010101100011001001011110110001010000001000110110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000101011000110010010111101100010000000010001101100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001010110001100100101111011000100000000100011011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000010101100011001001010110110001011000001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000101011000110010010101101100010110000010110001100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001010110001100100101011011000100100000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000010101100011001001010110110001001000001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000101011000110010010100101100010110000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000001010110001100100101001011000101100000101100011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000010101100011001001010010110001001000001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000101011000110010010100101100010010000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001011110001100100101101011000101100000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000010111100011001001011010110001011000001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000101111000110010010110101100010010000010110001100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001011110001100100101101011000100100000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000010111100011001001011111100000011000001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000101111000110010010111111000000110000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001011110001100100101111110000000100000101100011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000010111100011001001011111100000001000001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000101111000110010010101111000000101000010110001100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001011110001100100101011110000001010000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000010111100011001001010111100000000100001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000101111000110010010101111000000001000010110001100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001011110001100100101001110000001010000101100011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000010111100011001001010011100000010100001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000101111000110010010100111000000001000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000001011110001100100101001110000000010000101100011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000010101100010101001011011100000010100001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000101011000101010010110111000000101000010110001100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001010110001010100101101110000000010000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000010101100010101001011011100000000100001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000101011000101010010111000001000101000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001010110001010100101110000010001010000101100011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000010101100010101001011100000100000100001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000101011000101010010111000001000001000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001010110001010100101010000010001110000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000010101100010101001010100000100011100001011000110000101100110011000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000101011000101010010101000001000011000010110001100001011001100110000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001010110001010100101010000010000110000101100011000010110011001100000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000010101100010101001010000000100011100001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000101011000101010010100000001000111000010110001100001011001100100000000011001110000000000000000011100000000000001101001000000101100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000001010110001010100101000000010000110000101100011000010110011001000000000110011100000000000000000111000000000000011010010000001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000010101100010101001010000000100001100001011000110000101100110010000000001100111000000000000000001110000000000000110100100000010110011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000101100010011000000010001011100111000010110001100001011001100010000000011001110000000000000000011000000000000000101001000000101100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001011000100110000000100010111001110000101100011000010110011000100000000110011100000000000000000110000000000000001010010000001011001100001011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000010110001001100000001000101110001100001011000110000101100110001000000001100111000000000000000001100000000000000010100100000010110011000010111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000101100010011000000010001011100011000010110001100001011001100010000000011001110000000000000000011000000000000000101001000000101100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001011000100110000000110010111001110000101100011000010110011000000000000001011100000000000000000100000000000000001010010000001011001100001011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000010110001001100000001100101110011100001011000110000101100110000000000000010111000000000000000001000000000000000010100100000010110011000010111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000101100010011000000011001011100011000010110001100001011001100000000000000101110000000000000000010000000000000000101001000000101100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001011000100110000000110010111000110000101100011000010110011000000000000001011100000000000000000100000000000000001010010000001011001100001011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000010110001001100000000100101110010000001011000110000101100110001000000000010111000000000000000001000000000000000010100100000010110011000010111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000101100010011000000001001011100100000010110001100001011001100010000000000101110000000000000000010000000000000000101001000000101100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001011000100110000000010010111000000000101100011000010110011000100000000001011100000000000000000100000000000000001010010000001011001100001011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000010110001001100000000100101110000000001011000110000101100110001000000000010111000000000000000001000000000000000010100100000010110011000010111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000101100010011000000000001011100100000010110001100001011001100000000000000101110000000000000000010000000000000000101001000000101100110000101110000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000001011000100110000000000010111001000000101100011000010110011000000000000001011100000000000000000100000000000000001010010000001011001100001011100000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000010110001001100000000000101110000000001011000110000101100110000000000000010111000000000000000001000000000000000010100100000010110011000010111000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000101100010011000000000001011100000000010110001100001011001100000000000000101110000000000000000010000000000000000101001000000101100110000101110000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001011110001010100101101111111101000000101100011000010110011001100000000001011100000000000000000101000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000010111100010101001011011111111010000001011000110000101100110011000000000010111000000000000000001010000000000000110100100000010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000101111000101010010110111111110000000010110001100001011001100110000000000101110000000000000000010100000000000001101001000000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001011110001010100101101111111100000000101100011000010110011001100000000001011100000000000000000101000000000000011010010000001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000010111100010101001011100001111010000001011000111000101100110010000000000010111000000000000000000110000000000000110100100100010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000101111000101010010111000011110100000010110001110001011001100100000000000101110000000000000000001100000000000001101001001000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001011110001010100101110000111100000000101100011100010110011001000000000001011100000000000000000011000000000000011010010010001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000010111100010101001011100001111000000001011000111000101100110010000000000010111000000000000000000110000000000000110100100100010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000101111000101010010101000011110110000000001111110001011001100110000000000101110000000000000000001100000000000001101001001000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001011110001010100101010000111101100000000011111100010110011001100000000001011100000000000000000011000000000000011010010010001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000010111100010101001010100001111001000000000111111000101100110011000000000010111000000000000000000110000000000000110100100100010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000101111000101010010101000011110010000000001111110001011001100110000000000101110000000000000000001100000000000001101001001000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001011110001010100101000000111101100000000011111100010110011001000000000001011100000000000000000011000000000000011010010010001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000010111100010101001010000001111011000000000111111000101100110010000000000010111000000000000000000110000000000000110100100100010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000101111000101010010100000011110010000000001111110001011001100100000000000101110000000000000000001100000000000001101001001000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000001011110001010100101000000111100100000000011111100010110011001000000000001011100000000000000000011000000000000011010010010001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000010101100011101001011000001111011000000000111111000101100110011000000000010111000000000000000000110000000000000110100100100010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000101011000111010010110000011110110000000001111110001011001100110000000000101110000000000000000001100000000000001101001001000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001010110001110100101100000111100100000000011111100010110011001100000000001011100000000000000000011000000000000011010010010001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000010101100011101001011000001111001000000000111111000101100110011000000000010111000000000000000000110000000000000110100100100010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000101011000111010010111001000000110000000001111110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001010110001110100101110010000001100000000011111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000010101100011101001011100100000001000000000111111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000101011000111010010111001000000010000000001111110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001010110001110100101010010000001000000000011111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000010101100011101001010100100000010000000000111111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000101011000111010010101001000000000000000001111110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001010110001110100101010010000000000000000011111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000010101100011101001010000100000010000000000111111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000101011000111010010100001000000100000000001111110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000001010110001110100101000010000000000000000011111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000010101100011101001010000100000000000000000111111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000101111000111010010110001000000100000000001111110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001011110001110100101100010000001000000000011111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000010111100011101001011000100000000000000000111111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000101111000111010010110001000000000000000001111110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001011110001110100101110001001101000000000011111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000010111100011101001011100010011010000000000111111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000101111000111010010111000100110000000000001111110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001011110001110100101110001001100000000000011111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000010111100011101001010100010011011000000001001111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000101111000111010010101000100110110000000010011110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001011110001110100101010001001100100000000100111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000010111100011101001010100010011001000000001001111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000101111000111010010100000100110110000000010011110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000001011110001110100101000001001101100000000100111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000010111100011101001010000010011001000000001001111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000000000000001000000000010000000000100000101111000111010010100000100110010000000010011110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000001011110001101111101100001001101100000000100111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000010111100011011111011000010011011000000001001111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100000101111000110111110110000100110010000000010011110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000001011110001101111101100001001100100000000100111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000010111100011011111011100000000011000000001001111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100000101111000110111110111000000000110000000010011110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000001011110001101111101110000000000100000000100111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000010111100011011111011100000000001000000001001111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100000101111000110111110101000000000100000000010011110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000001011110001101111101010000000001000000000100111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000010111100011011111010100000000000000000001001111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100000101111000110111110101000000000000000000010011110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000001011110001101111101000000000001000000000100111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000100000000001000000000010000010111100011011111010000000000010000000001001111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000001000000000010000000000100000101111000110111110100000000000000000000010011110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000010000000000100000000001000001011110001101111101000000000000000000000100111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000010101100010011001011000000000010000000001001111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100000101011000100110010110000000000100000000010011110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000001010110001001100101100000000000000000000100111100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000010101100010011001011000000000000000000001001111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100000101011000100110010111001100100100000000010011110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000001010110001001100101110011001001000000000100111100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000010101100010011001011100110010000000000001001111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100000101011000100110010111001100100000000000010011110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000001010110001001100101010011001001100000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000010101100010011001010100110010011000000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100000101011000100110010101001100100010000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000001010110001001100101010011001000100000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000010101100010011001010000110010011000000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000001000000000010000000000100000101011000100110010100001100100110000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000010000000000100000000001000001010110001001100101000011001000100000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000100000000001000000000010000010101100010011001010000110010001000000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100000101111000100110010110001100100110000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000001011110001001100101100011001001100000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000010111100010011001011000110010001000000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100000101111000100110010110001100100010000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000001011110001001100101110000100101100000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000010111100010011001011100001001011000000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100000101111000100110010111000010010010000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000001011110001001100101110000100100100000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000010111100010011001010100001001010100000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100000101111000100110010101000010010101000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000001011110001001100101010000100100010000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000010111100010011001010100001001000100000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100000101111000100110010100000010010101000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000010000000000100000000001000001011110001001100101000000100101010000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000100000000001000000000010000010111100010011001010000001001000100000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000001000000000010000000000100000101111000100110010100000010010001000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000001010110001101100101100000100101010000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000010101100011011001011000001001010100000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000100000101011000110110010110000010010001000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000001010110001101100101100000100100010000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000010101100011011001011101110001010100000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000100000101011000110110010111011100010101000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000001010110001101100101110111000100010000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000010101100011011001011101110001000100000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000100000101011000110110010101011100010100000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000001010110001101100101010111000101000000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000010101100011011001010101110001000000000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000100000101011000110110010101011100010000000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000001010110001101100101000111000101000000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000100000000001000000000010000010101100011011001010001110001010000000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000001000000000010000000000100000101011000110110010100011100010000000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000010000000000100000000001000001010110001101100101000111000100000000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000010000010101100010001001011001110001010000000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000100000101011000100010010110011100010100000000110010110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000001000001010110001000100101100111000100000000001100101100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000010000010101100010001001011001110001000000000011001011000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000100000101011000100010010111100011010100000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000001000001010110001000100101111000110101000000001100101100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000010000010101100010001001011110001101000000000011001011000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000100000101011000100010010111100011010000000000110010110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000001000001010110001000100101011000110101100000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000010000010101100010001001010110001101011000001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000100000101011000100010010101100011010010000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000001000001010110001000100101011000110100100000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000010000010101100010001001010010001101011000001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000100000101011000100010010100100011010110000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000001000001010110001000100101001000110100100000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000010000010101100010001001010010001101001000001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000100000101111000100010010110100011010110000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000001000001011110001000100101101000110101100000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000010000010111100010001001011010001101001000001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000100000101111000100010010110100011010010000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000001000001011110001000100101110000000001100000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000010000010111100010001001011100000000011000001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000100000101111000100010010111000000000010000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000001000001011110001000100101110000000000100000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000010000010111100010001001010100000000010100001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000100000101111000100010010101000000000101000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000001000001011110001000100101010000000000010000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000010000010111100010001001010100000000000100001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000100000101111000100010010100000000000101000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000001000001011110001000100101000000000001010000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000010000010111100010001001010000000000000100001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000100000101111000100010010100000000000001000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000000000011010000000000000000101111111101010000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000000000110100000000000000001011111111010100001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000000001101000000000000000010111111110001000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000000000011010000000000000000101111111100010000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000000000110100000000000000001110011001010100001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000000001101000000000000000011100110010101000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000000000011010000000000000000111001100100010000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000000000110100000000000000001110011001000100001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000000001101000000000000000001100110010111000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000000000011010000000000000000011001100101110000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000000000110100000000000000000110011001001100001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000000001101000000000000000001100110010011000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000000000011010000000000000000001001100101110000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000000000110100000000000000000010011001011100001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000000001101000000000000000000100110010011000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000000000011010000000000000000001001100100110000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000000000110110000000000000001010011001011100001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000000001101100000000000000010100110010111000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000000000011011000000000000000101001100100110000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000000000110110000000000000001010011001001100001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000000001101100000000000000011111100010111000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000000000011011000000000000000111111000101110000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000000000110110000000000000001111110001001100001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000000001101100000000000000011111100010011000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000000000011011000000000000000011111000101001000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000000000110110000000000000000111110001010010001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000000001101100000000000000001111100010000100010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000000000011011000000000000000011111000100001000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000000000110110000000000000000011110001010010001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000000001101100000000000000000111100010100100010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000000000011011000000000000000001111000100001000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000000000110110000000000000000011110001000010001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000000001101111000101001011110111111110100100010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000000000011011110001010010111101111111101001000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000000000110111100010100101111011111111000010001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000000001101111000101001011110111111110000100010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000000000011011110001010010111110111010001001000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000000000110111100010100101111101110100010010001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000000001101111000101001011111011101000000100010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000000000011011110001010010111110111010000001000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000000000110111100010100101110101110100010000001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000000001101111000101001011101011101000100000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000000000011011110001010010111010111010000000000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000000000110111100010100101110101110100000000001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000000001101111000101001011100011101000100000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000000000011011110001010010111000111010001000000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000000000110111100010100101110001110100000000001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000000001101111000101001011100011101000000000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000000000011010110001100100101100111010001000000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000000000110101100011001001011001110100010000001000110111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000000001101011000110010010110011101000000000010001101110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000000000011010110001100100101100111010000000000100011011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000000000110101100011001001011110110001010000001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000000001101011000110010010111101100010100000010001101110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000000000011010110001100100101111011000100000000100011011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000000000110101100011001001011110110001000000001000110111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000000001101011000110010010101101100010110000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000000000011010110001100100101011011000101100000101100011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000000000110101100011001001010110110001001000001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000000001101011000110010010101101100010010000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000000000011010110001100100101001011000101100000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000000000110101100011001001010010110001011000001011000111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000000001101011000110010010100101100010010000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000000000011010110001100100101001011000100100000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000000000110111100011001001011010110001011000001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000000001101111000110010010110101100010110000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000000000011011110001100100101101011000100100000101100011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000000000110111100011001001011010110001001000001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000000001101111000110010010111111000000110000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000000000011011110001100100101111110000001100000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000000000110111100011001001011111100000001000001011000111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000000001101111000110010010111111000000010000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000000000011011110001100100101011110000001010000101100011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000000000110111100011001001010111100000010100001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000000001101111000110010010101111000000001000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000000000011011110001100100101011110000000010000101100011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000000000110111100011001001010011100000010100001011000111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000000001101111000110010010100111000000101000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000000000011011110001100100101001110000000010000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000000000110111100011001001010011100000000100001011000111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000000001101011000101010010110111000000101000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000000000011010110001010100101101110000001010000101100011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000000000110101100010101001011011100000000100001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000000001101011000101010010110111000000001000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000000000011010110001010100101110000010001010000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000000000110101100010101001011100000100010100001011000111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000000001101011000101010010111000001000001000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000000000011010110001010100101110000010000010000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000000000110101100010101001010100000100011100001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000000001101011000101010010101000001000111000010110001110001011001100110000000000101110000000000000000011100000000000001101001001000101100110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000000000011010110001010100101010000010000110000101100011100010110011001100000000001011100000000000000000111000000000000011010010010001011001100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000000000110101100010101001010100000100001100001011000111000101100110011000000000010111000000000000000001110000000000000110100100100010110011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000000001101011000101010010100000001000111000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000011010110001010100101000000010001110000101100011100010110011001000000000001011100000000000000000111000000000000011010010010001011001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000110101100010101001010000000100001100001011000111000101100110010000000000010111000000000000000001110000000000000110100100100010110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001101011000101010010100000001000011000010110001110001011001100100000000000101110000000000000000011100000000000001101001001000101100110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011011000100110000000100111010001110000101100011100010110011000100000000001011100000000000000000110000000000000001010010010001011001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000110110001001100000001001110100011100001011000111000101100110001000000000010111000000000000000001100000000000000010100100100010110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001101100010011000000010011101000011000010110001110001011001100010000000000101110000000000000000011000000000000000101001001000101100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011011000100110000000100111010000110000101100011100010110011000100000000001011100000000000000000110000000000000001010010010001011001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000110110001001100000001101110100011100001011000111000101100110000000000000111010000000000000000001000000000000000010100100100010110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001101100010011000000011011101000111000010110001110001011001100000000000001110100000000000000000010000000000000000101001001000101100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011011000100110000000110111010000110000101100011100010110011000000000000011101000000000000000000100000000000000001010010010001011001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000110110001001100000001101110100001100001011000111000101100110000000000000111010000000000000000001000000000000000010100100100010110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001101100010011000000001011101000100000010110001110001011001100010000000001110100000000000000000010000000000000000101001001000101100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011011000100110000000010111010001000000101100011100010110011000100000000011101000000000000000000100000000000000001010010010001011001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000110110001001100000000101110100000000001011000111000101100110001000000000111010000000000000000001000000000000000010100100100010110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001101100010011000000001011101000000000010110001110001011001100010000000001110100000000000000000010000000000000000101001001000101100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011011000100110000000000111010001000000101100011100010110011000000000000011101000000000000000000100000000000000001010010010001011001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000110110001001100000000001110100010000001011000111000101100110000000000000111010000000000000000001000000000000000010100100100010110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001101100010011000000000011101000000000010110001110001011001100000000000001110100000000000000000010000000000000000101001001000101100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000011011000100110000000000111010000000000101100011100010110011000000000000011101000000000000000000100000000000000001010010010001011001100011101000000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000110111100010101001011011111111010000001011000111000101100110011000000000111010000000000000000001010000000000000110100100100010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001101111000101010010110111111110100000010110001110001011001100110000000001110100000000000000000010100000000000001101001001000101100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011011110001010100101101111111100000000101100011100010110011001100000000011101000000000000000000101000000000000011010010010001011001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000110111100010101001011011111111000000001011000111000101100110011000000000111010000000000000000001010000000000000110100100100010110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001101111000101010010111000011110100000010110001100001111001100100000000001110100000000000000000001100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011011110001010100101110000111101000000101100011000011110011001000000000011101000000000000000000011000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000110111100010101001011100001111000000001011000110000111100110010000000000111010000000000000000000110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001101111000101010010111000011110000000010110001100001111001100100000000001110100000000000000000001100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011011110001010100101010000111101100000000011111000011110011001100000000011101000000000000000000011000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000110111100010101001010100001111011000000000111110000111100110011000000000111010000000000000000000110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001101111000101010010101000011110010000000001111100001111001100110000000001110100000000000000000001100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011011110001010100101010000111100100000000011111000011110011001100000000011101000000000000000000011000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000110111100010101001010000001111011000000000111110000111100110010000000000111010000000000000000000110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001101111000101010010100000011110110000000001111100001111001100100000000001110100000000000000000001100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000011011110001010100101000000111100100000000011111000011110011001000000000011101000000000000000000011000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000110111100010101001010000001111001000000000111110000111100110010000000000111010000000000000000000110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001101011000111010010110000011110110000000001111100001111001100110000000001110100000000000000000001100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011010110001110100101100000111101100000000011111000011110011001100000000011101000000000000000000011000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000110101100011101001011000001111001000000000111110000111100110011000000000111010000000000000000000110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001101011000111010010110000011110010000000001111100001111001100110000000001110100000000000000000001100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011010110001110100101110010000001100000000011111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000110101100011101001011100100000011000000000111110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001101011000111010010111001000000010000000001111100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011010110001110100101110010000000100000000011111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000110101100011101001010100100000010000000000111110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001101011000111010010101001000000100000000001111100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011010110001110100101010010000000000000000011111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000110101100011101001010100100000000000000000111110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001101011000111010010100001000000100000000001111100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000011010110001110100101000010000001000000000011111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000110101100011101001010000100000000000000000111110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001101011000111010010100001000000000000000001111100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011011110001110100101100010000001000000000011111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000110111100011101001011000100000010000000000111110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001101111000111010010110001000000000000000001111100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011011110001110100101100010000000000000000011111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000110111100011101001011100010011010000000000111110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001101111000111010010111000100110100000000001111100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011011110001110100101110001001100000000000011111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000110111100011101001011100010011000000000000111110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001101111000111010010101000100110110000000010011100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011011110001110100101010001001101100000000100111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000110111100011101001010100010011001000000001001110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001101111000111010010101000100110010000000010011100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011011110001110100101000001001101100000000100111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000110111100011101001010000010011011000000001001110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001101111000111010010100000100110010000000010011100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000011011110001110100101000001001100100000000100111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000110111100011011111011000010011011000000001001110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001101111000110111110110000100110110000000010011100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011011110001101111101100001001100100000000100111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000110111100011011111011000010011001000000001001110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001101111000110111110111000000000110000000010011100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011011110001101111101110000000001100000000100111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000110111100011011111011100000000001000000001001110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001101111000110111110111000000000010000000010011100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011011110001101111101010000000001000000000100111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000110111100011011111010100000000010000000001001110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001101111000110111110101000000000000000000010011100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011011110001101111101010000000000000000000100111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000110111100011011111010000000000010000000001001110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001101111000110111110100000000000100000000010011100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000011011110001101111101000000000000000000000100111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000110111100011011111010000000000000000000001001110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001101011000100110010110000000000100000000010011100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011010110001001100101100000000001000000000100111000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000110101100010011001011000000000000000000001001110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001101011000100110010110000000000000000000010011100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011010110001001100101110011001001000000000100111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000110101100010011001011100110010010000000001001110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001101011000100110010111001100100000000000010011100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011010110001001100101110011001000000000000100111000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000110101100010011001010100110010011000000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001101011000100110010101001100100110000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011010110001001100101010011001000100000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000110101100010011001010100110010001000000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001101011000100110010100001100100110000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000011010110001001100101000011001001100000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000110101100010011001010000110010001000000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001101011000100110010100001100100010000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011011110001001100101100011001001100000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000110111100010011001011000110010011000000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001101111000100110010110001100100010000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011011110001001100101100011001000100000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000110111100010011001011100001001011000000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001101111000100110010111000010010110000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011011110001001100101110000100100100000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000110111100010011001011100001001001000000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001101111000100110010101000010010101000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011011110001001100101010000100101010000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000110111100010011001010100001001000100000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001101111000100110010101000010010001000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011011110001001100101000000100101010000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000110111100010011001010000001001010100000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001101111000100110010100000010010001000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000011011110001001100101000000100100010000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000110101100011011001011000001001010100000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001101011000110110010110000010010101000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011010110001101100101100000100100010000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000110101100011011001011000001001000100000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001101011000110110010111011100010101000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011010110001101100101110111000101010000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000110101100011011001011101110001000100000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001101011000110110010111011100010001000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011010110001101100101010111000101000000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000110101100011011001010101110001010000000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001101011000110110010101011100010000000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011010110001101100101010111000100000000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000110101100011011001010001110001010000000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001101011000110110010100011100010100000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000011010110001101100101000111000100000000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000110101100011011001010001110001000000000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001101011000100010010110011100010100000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011010110001000100101100111000101000000001100101000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000110101100010001001011001110001000000000011001010000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001101011000100010010110011100010000000000110010100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011010110001000100101111000110101000000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000110101100010001001011110001101010000000011001010000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001101011000100010010111100011010000000000110010100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011010110001000100101111000110100000000001100101000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000110101100010001001010110001101011000001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001101011000100010010101100011010110000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011010110001000100101011000110100100000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000110101100010001001010110001101001000001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001101011000100010010100100011010110000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000011010110001000100101001000110101100000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000110101100010001001010010001101001000001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001101011000100010010100100011010010000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011011110001000100101101000110101100000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000110111100010001001011010001101011000001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001101111000100010010110100011010010000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011011110001000100101101000110100100000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000110111100010001001011100000000011000001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001101111000100010010111000000000110000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011011110001000100101110000000000100000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000110111100010001001011100000000001000001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001101111000100010010101000000000101000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011011110001000100101010000000001010000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000110111100010001001010100000000000100001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001101111000100010010101000000000001000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011011110001000100101000000000001010000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000110111100010001001010000000000010100001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001101111000100010010100000000000001000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000011011110001000100101000000000000010000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000110100000000000000001011111111010100001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001101000000000000000010111111110101000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011010000000000000000101111111100010000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000110100000000000000001011111111000100001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001101000000000000000011100110010101000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011010000000000000000111001100101010000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000110100000000000000001110011001000100001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001101000000000000000011100110010001000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011010000000000000000011001100101110000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000110100000000000000000110011001011100001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001101000000000000000001100110010011000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011010000000000000000011001100100110000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000110100000000000000000010011001011100001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001101000000000000000000100110010111000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000011010000000000000000001001100100110000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000110100000000000000000010011001001100001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001101100000000000000010100110010111000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011011000000000000000101001100101110000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000110110000000000000001010011001001100001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001101100000000000000010100110010011000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011011000000000000000111111000101110000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000110110000000000000001111110001011100001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001101100000000000000011111100010011000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011011000000000000000111111000100110000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000110110000000000000000111110001010010001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001101100000000000000001111100010100100010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011011000000000000000011111000100001000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000110110000000000000000111110001000010001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001101100000000000000000111100010100100010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000011011000000000000000001111000101001000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000110110000000000000000011110001000010001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001101100000000000000000111100010000100010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011010110001110010111101111111101001000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000110101100011100101111011111111010010001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001101011000111001011110111111110000100010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011010110001110010111101111111100001000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000110101100011100101111101110100010010001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001101011000111001011111011101000100100010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011010110001110010111110111010000001000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000110101100011100101111101110100000010001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001101011000111001011101011101000100000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011010110001110010111010111010001000000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000110101100011100101110101110100000000001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001101011000111001011101011101000000000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011010110001110010111000111010001000000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000110101100011100101110001110100010000001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001101011000111001011100011101000000000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000011010110001110010111000111010000000000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000110101100011001001011001110100010000001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001101011000110010010110011101000100000010001101100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011010110001100100101100111010000000000100011011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000110101100011001001011001110100000000001000110110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001101011000110010010111101100010100000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011010110001100100101111011000101000000100011011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000110101100011001001011110110001000000001000110110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001101011000110010010111101100010000000010001101100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011010110001100100101011011000101100000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000110101100011001001010110110001011000001011000110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001101011000110010010101101100010010000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011010110001100100101011011000100100000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000110101100011001001010010110001011000001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001101011000110010010100101100010110000010110001100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000011010110001100100101001011000100100000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000110101100011001001010010110001001000001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001101111000110010010110101100010110000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011011110001100100101101011000101100000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000110111100011001001011010110001001000001011000110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001101111000110010010110101100010010000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011011110001100100101111110000001100000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000110111100011001001011111100000011000001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001101111000110010010111111000000010000010110001100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011011110001100100101111110000000100000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000110111100011001001010111100000010100001011000110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001101111000110010010101111000000101000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011011110001100100101011110000000010000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000110111100011001001010111100000000100001011000110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001101111000110010010100111000000101000010110001100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000011011110001100100101001110000001010000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000110111100011001001010011100000000100001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001101111000110010010100111000000001000010110001100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011010110001010100101101110000001010000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000110101100010101001011011100000010100001011000110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001101011000101010010110111000000001000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011010110001010100101101110000000010000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000110101100010101001011100000100010100001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001101011000101010010111000001000101000010110001100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011010110001010100101110000010000010000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000110101100010101001011100000100000100001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001101011000101010010101000001000111000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011010110001010100101010000010001110000101100011000011110011001100000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000110101100010101001010100000100001100001011000110000111100110011000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001101011000101010010101000001000011000010110001100001111001100110000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011010110001010100101000000010001110000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000110101100010101001010000000100011100001011000110000111100110010000000000111010000000000000000001110000000000000110100100000011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001101011000101010010100000001000011000010110001100001111001100100000000001110100000000000000000011100000000000001101001000000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000011010110001010100101000000010000110000101100011000011110011001000000000011101000000000000000000111000000000000011010010000001111001100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000110110001001100000001001110100011100001011000110000111100110001000000000111010000000000000000001100000000000000010100100000011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001101100010011000000010011101000111000010110001100001111001100010000000001110100000000000000000011000000000000000101001000000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011011000100110000000100111010000110000101100011000011110011000100000000011101000000000000000000110000000000000001010010000001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000110110001001100000001001110100001100001011000110000111100110001000000000111010000000000000000001100000000000000010100100000011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001101100010011000000011011101000111000010110001100001111001100000000000001110100000000000000000010000000000000000101001000000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011011000100110000000110111010001110000101100011000011110011000000000000011101000000000000000000100000000000000001010010000001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000110110001001100000001101110100001100001011000110000111100110000000000000111010000000000000000001000000000000000010100100000011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001101100010011000000011011101000011000010110001100001111001100000000000001110100000000000000000010000000000000000101001000000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011011000100110000000010111010001000000101100011000011110011000100000000011101000000000000000000100000000000000001010010000001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000110110001001100000000101110100010000001011000110000111100110001000000000111010000000000000000001000000000000000010100100000011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001101100010011000000001011101000000000010110001100001111001100010000000001110100000000000000000010000000000000000101001000000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011011000100110000000010111010000000000101100011000011110011000100000000011101000000000000000000100000000000000001010010000001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000110110001001100000000001110100010000001011000110000111100110000000000000111010000000000000000001000000000000000010100100000011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001101100010011000000000011101000100000010110001100001111001100000000000001110100000000000000000010000000000000000101001000000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000011011000100110000000000111010000000000101100011000011110011000000000000011101000000000000000000100000000000000001010010000001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000110110001001100000000001110100000000001011000110000111100110000000000000111010000000000000000001000000000000000010100100000011110011000111010000001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001101111000101010010110111111110100000010110001100001111001100110000000001110100000000000000000010100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011011110001010100101101111111101000000101100011000011110011001100000000011101000000000000000000101000000000000011010010000001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000110111100010101001011011111111000000001011000110000111100110011000000000111010000000000000000001010000000000000110100100000011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001101111000101010010110111111110000000010110001100001111001100110000000001110100000000000000000010100000000000001101001000000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011011110001010100101110000111101000000101100011100011110011001000000000011101000000000000000000011000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000110111100010101001011100001111010000001011000111000111100110010000000000111010000000000000000000110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001101111000101010010111000011110000000010110001110001111001100100000000001110100000000000000000001100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011011110001010100101110000111100000000101100011100011110011001000000000011101000000000000000000011000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000110111100010101001010100001111011000000000111111000111100110011000000000111010000000000000000000110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001101111000101010010101000011110110000000001111110001111001100110000000001110100000000000000000001100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011011110001010100101010000111100100000000011111100011110011001100000000011101000000000000000000011000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000110111100010101001010100001111001000000000111111000111100110011000000000111010000000000000000000110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001101111000101010010100000011110110000000001111110001111001100100000000001110100000000000000000001100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000011011110001010100101000000111101100000000011111100011110011001000000000011101000000000000000000011000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000110111100010101001010000001111001000000000111111000111100110010000000000111010000000000000000000110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001101111000101010010100000011110010000000001111110001111001100100000000001110100000000000000000001100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011010110001110100101100000111101100000000011111100011110011001100000000011101000000000000000000011000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000110101100011101001011000001111011000000000111111000111100110011000000000111010000000000000000000110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001101011000111010010110000011110010000000001111110001111001100110000000001110100000000000000000001100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011010110001110100101100000111100100000000011111100011110011001100000000011101000000000000000000011000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000110101100011101001011100100000011000000000111111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001101011000111010010111001000000110000000001111110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011010110001110100101110010000000100000000011111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000110101100011101001011100100000001000000000111111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001101011000111010010101001000000100000000001111110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011010110001110100101010010000001000000000011111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000110101100011101001010100100000000000000000111111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001101011000111010010101001000000000000000001111110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011010110001110100101000010000001000000000011111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000110101100011101001010000100000010000000000111111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001101011000111010010100001000000000000000001111110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000011010110001110100101000010000000000000000011111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000110111100011101001011000100000010000000000111111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001101111000111010010110001000000100000000001111110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011011110001110100101100010000000000000000011111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000110111100011101001011000100000000000000000111111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001101111000111010010111000100110100000000001111110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011011110001110100101110001001101000000000011111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000110111100011101001011100010011000000000000111111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001101111000111010010111000100110000000000001111110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011011110001110100101010001001101100000000100111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000110111100011101001010100010011011000000001001111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001101111000111010010101000100110010000000010011110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011011110001110100101010001001100100000000100111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000110111100011101001010000010011011000000001001111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001101111000111010010100000100110110000000010011110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000011011110001110100101000001001100100000000100111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000110111100011101001010000010011001000000001001111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001101111000110111110110000100110110000000010011110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011011110001101111101100001001101100000000100111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000110111100011011111011000010011001000000001001111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001101111000110111110110000100110010000000010011110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011011110001101111101110000000001100000000100111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000110111100011011111011100000000011000000001001111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001101111000110111110111000000000010000000010011110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011011110001101111101110000000000100000000100111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000110111100011011111010100000000010000000001001111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001101111000110111110101000000000100000000010011110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011011110001101111101010000000000000000000100111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000110111100011011111010100000000000000000001001111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001101111000110111110100000000000100000000010011110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000011011110001101111101000000000001000000000100111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000110111100011011111010000000000000000000001001111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001101111000110111110100000000000000000000010011110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011010110001001100101100000000001000000000100111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000110101100010011001011000000000010000000001001111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001101011000100110010110000000000000000000010011110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011010110001001100101100000000000000000000100111100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000110101100010011001011100110010010000000001001111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001101011000100110010111001100100100000000010011110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011010110001001100101110011001000000000000100111100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000110101100010011001011100110010000000000001001111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001101011000100110010101001100100110000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011010110001001100101010011001001100000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000110101100010011001010100110010001000000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001101011000100110010101001100100010000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011010110001001100101000011001001100000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000110101100010011001010000110010011000000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001101011000100110010100001100100010000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000011010110001001100101000011001000100000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000110111100010011001011000110010011000000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001101111000100110010110001100100110000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011011110001001100101100011001000100000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000110111100010011001011000110010001000000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001101111000100110010111000010010110000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011011110001001100101110000100101100000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000110111100010011001011100001001001000000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001101111000100110010111000010010010000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011011110001001100101010000100101010000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000110111100010011001010100001001010100000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001101111000100110010101000010010001000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011011110001001100101010000100100010000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000110111100010011001010000001001010100000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000001101111000100110010100000010010101000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000011011110001001100101000000100100010000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000110111100010011001010000001001000100000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001101011000110110010110000010010101000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011010110001101100101100000100101010000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000110101100011011001011000001001000100000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001101011000110110010110000010010001000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011010110001101100101110111000101010000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000110101100011011001011101110001010100000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001101011000110110010111011100010001000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011010110001101100101110111000100010000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000110101100011011001010101110001010000000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001101011000110110010101011100010100000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011010110001101100101010111000100000000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000110101100011011001010101110001000000000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001101011000110110010100011100010100000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000011010110001101100101000111000101000000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000110101100011011001010001110001000000000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000001101011000110110010100011100010000000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011010110001000100101100111000101000000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000110101100010001001011001110001010000000011001011000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001101011000100010010110011100010000000000110010110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011010110001000100101100111000100000000001100101100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000110101100010001001011110001101010000000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001101011000100010010111100011010100000000110010110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011010110001000100101111000110100000000001100101100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000110101100010001001011110001101000000000011001011000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001101011000100010010101100011010110000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011010110001000100101011000110101100000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000110101100010001001010110001101001000001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001101011000100010010101100011010010000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011010110001000100101001000110101100000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000110101100010001001010010001101011000001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000001101011000100010010100100011010010000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000011010110001000100101001000110100100000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000110111100010001001011010001101011000001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001101111000100010010110100011010110000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011011110001000100101101000110100100000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000110111100010001001011010001101001000001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001101111000100010010111000000000110000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011011110001000100101110000000001100000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000110111100010001001011100000000001000001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001101111000100010010111000000000010000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011011110001000100101010000000001010000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000110111100010001001010100000000010100001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001101111000100010010101000000000001000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011011110001000100101010000000000010000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000110111100010001001010000000000010100001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000000001101111000100010010100000000000101000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000000000011011110001000100101000000000000010000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000000000110111100010001001010000000000000100001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001101000000000000000010111111110101000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011010000000000000000101111111101010000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000110100000000000000001011111111000100001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001101000000000000000010111111110001000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011010000000000000000111001100101010000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000110100000000000000001110011001010100001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001101000000000000000011100110010001000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011010000000000000000111001100100010000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000110100000000000000000110011001011100001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001101000000000000000001100110010111000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011010000000000000000011001100100110000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000110100000000000000000110011001001100001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001101000000000000000000100110010111000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000001000011010000000000000000001001100101110000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000010000110100000000000000000010011001001100001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000100001101000000000000000000100110010011000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011011000000000000000101001100101110000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000110110000000000000001010011001011100001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001101100000000000000010100110010011000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011011000000000000000101001100100110000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000110110000000000000001111110001011100001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001101100000000000000011111100010111000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011011000000000000000111111000100110000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000110110000000000000001111110001001100001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001101100000000000000001111100010100100010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011011000000000000000011111000101001000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000110110000000000000000111110001000010001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001101100000000000000001111100010000100010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011011000000000000000001111000101001000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000010000110110000000000000000011110001010010001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000100001101100000000000000000111100010000100010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000001000011011000000000000000001111000100001000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000110111100011100101111011111111010010001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001101111000111001011110111111110100100010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011011110001110010111101111111100001000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000110111100011100101111011111111000010001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001101111000111001011111011101000100100010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011011110001110010111110111010001001000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000110111100011100101111101110100000010001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001101111000111001011111011101000000100010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011011110001110010111010111010001000000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000110111100011100101110101110100010000001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001101111000111001011101011101000000000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011011110001110010111010111010000000000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000110111100011100101110001110100010000001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000100001101111000111001011100011101000100000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000001000011011110001110010111000111010000000000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000010000110111100011100101110001110100000000001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001101011000110010010110011101000100000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011010110001100100101100111010001000000100011011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000110101100011001001011001110100000000001000110111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001101011000110010010110011101000000000010001101110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011010110001100100101111011000101000000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000110101100011001001011110110001010000001000110111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001101011000110010010111101100010000000010001101110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011010110001100100101111011000100000000100011011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000110101100011001001010110110001011000001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001101011000110010010101101100010110000010110001110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011010110001100100101011011000100100000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000110101100011001001010110110001001000001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001101011000110010010100101100010110000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000001000011010110001100100101001011000101100000101100011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000010000110101100011001001010010110001001000001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000100001101011000110010010100101100010010000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011011110001100100101101011000101100000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000110111100011001001011010110001011000001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001101111000110010010110101100010010000010110001110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011011110001100100101101011000100100000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000110111100011001001011111100000011000001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001101111000110010010111111000000110000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011011110001100100101111110000000100000101100011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000110111100011001001011111100000001000001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001101111000110010010101111000000101000010110001110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011011110001100100101011110000001010000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000110111100011001001010111100000000100001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001101111000110010010101111000000001000010110001110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011011110001100100101001110000001010000101100011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000010000110111100011001001010011100000010100001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000100001101111000110010010100111000000001000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000001000011011110001100100101001110000000010000101100011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000110101100010101001011011100000010100001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001101011000101010010110111000000101000010110001110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011010110001010100101101110000000010000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000110101100010101001011011100000000100001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001101011000101010010111000001000101000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011010110001010100101110000010001010000101100011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000110101100010101001011100000100000100001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001101011000101010010111000001000001000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011010110001010100101010000010001110000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000110101100010101001010100000100011100001011000111000111100110011000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001101011000101010010101000001000011000010110001110001111001100110000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011010110001010100101010000010000110000101100011100011110011001100000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000110101100010101001010000000100011100001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000100001101011000101010010100000001000111000010110001110001111001100100000000001110100000000000000000011100000000000001101001001000111100110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000001000011010110001010100101000000010000110000101100011100011110011001000000000011101000000000000000000111000000000000011010010010001111001100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000010000110101100010101001010000000100001100001011000111000111100110010000000000111010000000000000000001110000000000000110100100100011110011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001101100010011000000010011101000111000010110001110001111001100010000000001110100000000000000000011000000000000000101001001000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011011000100110000000100111010001110000101100011100011110011000100000000011101000000000000000000110000000000000001010010010001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000110110001001100000001001110100001100001011000111000111100110001000000000111010000000000000000001100000000000000010100100100011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001101100010011000000010011101000011000010110001110001111001100010000000001110100000000000000000011000000000000000101001001000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011011000100110000000110111010001110000101100011100011110011000000000000011101000000000000000000100000000000000001010010010001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000110110001001100000001101110100011100001011000111000111100110000000000000111010000000000000000001000000000000000010100100100011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001101100010011000000011011101000011000010110001110001111001100000000000001110100000000000000000010000000000000000101001001000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011011000100110000000110111010000110000101100011100011110011000000000000011101000000000000000000100000000000000001010010010001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000110110001001100000000101110100010000001011000111000111100110001000000000111010000000000000000001000000000000000010100100100011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001101100010011000000001011101000100000010110001110001111001100010000000001110100000000000000000010000000000000000101001001000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011011000100110000000010111010000000000101100011100011110011000100000000011101000000000000000000100000000000000001010010010001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000110110001001100000000101110100000000001011000111000111100110001000000000111010000000000000000001000000000000000010100100100011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001101100010011000000000011101000100000010110001110001111001100000000000001110100000000000000000010000000000000000101001001000111100110001110100000011101111111111111000011000000010000000100000000001000000000000000000000000000000001000011011000100110000000000111010001000000101100011100011110011000000000000011101000000000000000000100000000000000001010010010001111001100011101000000111011111111111110000110000000100000001000000000010000000000000000000000000000000010000110110001001100000000001110100000000001011000111000111100110000000000000111010000000000000000001000000000000000010100100100011110011000111010000001110111111111111100001100000001000000010000000000100000000000000000000000000000000100001101100010011000000000011101000000000010110001110001111001100000000000001110100000000000000000010000000000000000101001001000111100110001110100000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011011110001010100101101111111101000000101100011100011110011001100000000011101000000000000000000101000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000110111100010101001011011111111010000001011000111000111100110011000000000111010000000000000000001010000000000000110100100100011110011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001101111000101010010110111111110000000010110001110001111001100110000000001110100000000000000000010100000000000001101001001000111100110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011011110001010100101101111111100000000101100011100011110011001100000000011101000000000000000000101000000000000011010010010001111001100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000110111100010101001011100001111010000001011000110000100010110010000000000111010000000000000000000110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001101111000101010010111000011110100000010110001100001000101100100000000001110100000000000000000001100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011011110001010100101110000111100000000101100011000010001011001000000000011101000000000000000000011000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000110111100010101001011100001111000000001011000110000100010110010000000000111010000000000000000000110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001101111000101010010101000011110110000000001111100001000101100110000000001110100000000000000000001100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011011110001010100101010000111101100000000011111000010001011001100000000011101000000000000000000011000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000110111100010101001010100001111001000000000111110000100010110011000000000111010000000000000000000110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001101111000101010010101000011110010000000001111100001000101100110000000001110100000000000000000001100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011011110001010100101000000111101100000000011111000010001011001000000000011101000000000000000000011000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000010000110111100010101001010000001111011000000000111110000100010110010000000000111010000000000000000000110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000100001101111000101010010100000011110010000000001111100001000101100100000000001110100000000000000000001100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000001000011011110001010100101000000111100100000000011111000010001011001000000000011101000000000000000000011000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000110101100011101001011000001111011000000000111110000100010110011000000000111010000000000000000000110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001101011000111010010110000011110110000000001111100001000101100110000000001110100000000000000000001100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011010110001110100101100000111100100000000011111000010001011001100000000011101000000000000000000011000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000110101100011101001011000001111001000000000111110000100010110011000000000111010000000000000000000110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001101011000111010010111001000000110000000001111100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011010110001110100101110010000001100000000011111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000110101100011101001011100100000001000000000111110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001101011000111010010111001000000010000000001111100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011010110001110100101010010000001000000000011111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000110101100011101001010100100000010000000000111110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001101011000111010010101001000000000000000001111100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011010110001110100101010010000000000000000011111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000110101100011101001010000100000010000000000111110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000100001101011000111010010100001000000100000000001111100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000001000011010110001110100101000010000000000000000011111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000010000110101100011101001010000100000000000000000111110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001101111000111010010110001000000100000000001111100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011011110001110100101100010000001000000000011111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000110111100011101001011000100000000000000000111110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001101111000111010010110001000000000000000001111100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011011110001110100101110001001101000000000011111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000110111100011101001011100010011010000000000111110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001101111000111010010111000100110000000000001111100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011011110001110100101110001001100000000000011111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000110111100011101001010100010011011000000001001110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001101111000111010010101000100110110000000010011100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011011110001110100101010001001100100000000100111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000110111100011101001010100010011001000000001001110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001101111000111010010100000100110110000000010011100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000001000011011110001110100101000001001101100000000100111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000010000110111100011101001010000010011001000000001001110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000100001101111000111010010100000100110010000000010011100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011011110001101111101100001001101100000000100111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000110111100011011111011000010011011000000001001110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001101111000110111110110000100110010000000010011100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011011110001101111101100001001100100000000100111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000110111100011011111011100000000011000000001001110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001101111000110111110111000000000110000000010011100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011011110001101111101110000000000100000000100111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000110111100011011111011100000000001000000001001110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001101111000110111110101000000000100000000010011100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011011110001101111101010000000001000000000100111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000110111100011011111010100000000000000000001001110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001101111000110111110101000000000000000000010011100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011011110001101111101000000000001000000000100111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000110111100011011111010000000000010000000001001110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100001101111000110111110100000000000000000000010011100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000011011110001101111101000000000000000000000100111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000110101100010011001011000000000010000000001001110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001101011000100110010110000000000100000000010011100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011010110001001100101100000000000000000000100111000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000110101100010011001011000000000000000000001001110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001101011000100110010111001100100100000000010011100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011010110001001100101110011001001000000000100111000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000110101100010011001011100110010000000000001001110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001101011000100110010111001100100000000000010011100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011010110001001100101010011001001100000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000110101100010011001010100110010011000000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001101011000100110010101001100100010000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011010110001001100101010011001000100000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000110101100010011001010000110010011000000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100001101011000100110010100001100100110000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000011010110001001100101000011001000100000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000110101100010011001010000110010001000000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001101111000100110010110001100100110000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011011110001001100101100011001001100000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000110111100010011001011000110010001000000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001101111000100110010110001100100010000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011011110001001100101110000100101100000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000110111100010011001011100001001011000000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001101111000100110010111000010010010000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011011110001001100101110000100100100000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000110111100010011001010100001001010100000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001101111000100110010101000010010101000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011011110001001100101010000100100010000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000110111100010011001010100001001000100000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001101111000100110010100000010010101000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000011011110001001100101000000100101010000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000110111100010011001010000001001000100000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100001101111000100110010100000010010001000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011010110001101100101100000100101010000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000110101100011011001011000001001010100000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001101011000110110010110000010010001000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011010110001101100101100000100100010000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000110101100011011001011101110001010100000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001101011000110110010111011100010101000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011010110001101100101110111000100010000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000110101100011011001011101110001000100000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001101011000110110010101011100010100000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011010110001101100101010111000101000000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000110101100011011001010101110001000000000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001101011000110110010101011100010000000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011010110001101100101000111000101000000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000110101100011011001010001110001010000000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000100001101011000110110010100011100010000000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000011010110001101100101000111000100000000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000110101100010001001011001110001010000000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001101011000100010010110011100010100000000110010100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011010110001000100101100111000100000000001100101000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000110101100010001001011001110001000000000011001010000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001101011000100010010111100011010100000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011010110001000100101111000110101000000001100101000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000110101100010001001011110001101000000000011001010000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001101011000100010010111100011010000000000110010100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011010110001000100101011000110101100000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000110101100010001001010110001101011000001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001101011000100010010101100011010010000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011010110001000100101011000110100100000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000110101100010001001010010001101011000001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100001101011000100010010100100011010110000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000011010110001000100101001000110100100000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000110101100010001001010010001101001000001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001101111000100010010110100011010110000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011011110001000100101101000110101100000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000110111100010001001011010001101001000001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001101111000100010010110100011010010000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011011110001000100101110000000001100000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000110111100010001001011100000000011000001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001101111000100010010111000000000010000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011011110001000100101110000000000100000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000110111100010001001010100000000010100001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001101111000100010010101000000000101000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011011110001000100101010000000000010000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000110111100010001001010100000000000100001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001101111000100010010100000000000101000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000011011110001000100101000000000001010000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000110111100010001001010000000000000100001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100001101111000100010010100000000000001000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011010000000000000000101111111101010000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000110100000000000000001011111111010100001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001101000000000000000010111111110001000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011010000000000000000101111111100010000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000110100000000000000001110011001010100001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001101000000000000000011100110010101000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011010000000000000000111001100100010000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000110100000000000000001110011001000100001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001101000000000000000001100110010111000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011010000000000000000011001100101110000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000110100000000000000000110011001001100001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001101000000000000000001100110010011000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011010000000000000000001001100101110000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000110100000000000000000010011001011100001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100001101000000000000000000100110010011000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000011010000000000000000001001100100110000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000110110000000000000001010011001011100001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001101100000000000000010100110010111000010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011011000000000000000101001100100110000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000110110000000000000001010011001001100001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001101100000000000000011111100010111000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011011000000000000000111111000101110000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000110110000000000000001111110001001100001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001101100000000000000011111100010011000010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011011000000000000000011111000101001000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000110110000000000000000111110001010010001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001101100000000000000001111100010000100010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011011000000000000000011111000100001000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000110110000000000000000011110001010010001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100001101100000000000000000111100010100100010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000011011000000000000000001111000100001000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000110110000000000000000011110001000010001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001101011000100101011110111111110100100010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000011010110001001010111101111111101001000100011011000010001011001100000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000110101100010010101111011111111000010001000110110000100010110011000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001101011000100101011110111111110000100010001101100001000101100110000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000011010110001001010111110000000001001000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000110101100010010101111100000000010010001000110110000100010110010000000000111010000000000000000001110000000000000110100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001101011000100101011111000000000000100010001101100001000101100100000000001110100000000000000000011100000000000001101001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000011010110001001010111110000000000001000100011011000010001011001000000000011101000000000000000000111000000000000011010010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000110101100010010101110100000000010000001000110110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001101011000100101011101000000000100000010001101100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000011010110001001010111010000000000000000100011011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000110101100010010101110100000000000000001000110110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001101011000100101011100000000000100000010001101100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000011010110001001010111000000000001000000100011011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000110101100010010101110000000000000000001000110110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100001101011000100101011100000000000000000010001101100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000011010110001100100101100000000001000000100011011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000110101100011001001011000000000010000001000110110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001101011000110010010110000000000000000010001101100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000011010110001100100101100000000000000000100011011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000110101100011001001011110110001010000001000110110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001101011000110010010111101100010100000010001101100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000011010110001100100101111011000100000000100011011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000110101100011001001011110110001000000001000110110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001101011000110010010101101100010110000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000011010110001100100101011011000101100000101100011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000110101100011001001010110110001001000001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001101011000110010010101101100010010000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000011010110001100100101001011000101100000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000110101100011001001010010110001011000001011000110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100001101011000110010010100101100010010000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000011010110001100100101001011000100100000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000110111100011001001011010110001011000001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001101111000110010010110101100010110000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000011011110001100100101101011000100100000101100011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000110111100011001001011010110001001000001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001101111000110010010111111000000110000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000011011110001100100101111110000001100000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000110111100011001001011111100000001000001011000110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001101111000110010010111111000000010000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000011011110001100100101011110000001010000101100011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000110111100011001001010111100000010100001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001101111000110010010101111000000001000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000011011110001100100101011110000000010000101100011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000110111100011001001010011100000010100001011000110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100001101111000110010010100111000000101000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000011011110001100100101001110000000010000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000110111100011001001010011100000000100001011000110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001101011000101010010110111000000101000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000011010110001010100101101110000001010000101100011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000110101100010101001011011100000000100001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001101011000101010010110111000000001000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000011010110001010100101110000010001010000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000110101100010101001011100000100010100001011000110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001101011000101010010111000001000001000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000011010110001010100101110000010000010000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000110101100010101001010100000100011100001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001101011000101010010101000001000111000010110001100001000101100110000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000011010110001010100101010000010000110000101100011000010001011001100000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000110101100010101001010100000100001100001011000110000100010110011000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001101011000101010010100000001000111000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000011010110001010100101000000010001110000101100011000010001011001000000000011101000000000000000000111000000000000011110010000001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000110101100010101001010000000100001100001011000110000100010110010000000000111010000000000000000001110000000000000111100100000010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100001101011000101010010100000001000011000010110001100001000101100100000000001110100000000000000000011100000000000001111001000000100010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000011011000100110000000100000000001110000101100011000010001011000100000000011101000000000000000000110000000000000001110010000001000101100000000000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000110110001001100000001000000000011100001011000110000100010110001000000000111010000000000000000001100000000000000011100100000010001011000000000000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001101100010011000000010000000000011000010110001100001000101100010000000001110100000000000000000011000000000000000111001000000100010110000000000000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000011011000100110000000100000000000110000101100011000010001011000100000000011101000000000000000000110000000000000001110010000001000101100000000000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000110110001001100000001100000000011100001011000110000100010110000000000000000000000000000000000001000000000000000011100100000010001011000000000000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001101100010011000000011000000000111000010110001100001000101100000000000000000000000000000000000010000000000000000111001000000100010110000000000000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000011011000100110000000110000000000110000101100011000010001011000000000000000000000000000000000000100000000000000001110010000001000101100000000000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000110110001001100000001100000000001100001011000110000100010110000000000000000000000000000000000001000000000000000011100100000010001011000000000000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001101100010011000000001000000000100000010110001100001000101100010000000000000000000000000000000010000000000000000111001000000100010110000000000000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000011011000100110000000010000000001000000101100011000010001011000100000000000000000000000000000000100000000000000001110010000001000101100000000000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000110110001001100000000100000000000000001011000110000100010110001000000000000000000000000000000001000000000000000011100100000010001011000000000000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001101100010011000000001000000000000000010110001100001000101100010000000000000000000000000000000010000000000000000111001000000100010110000000000000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000011011000100110000000000000000001000000101100011000010001011000000000000000000000000000000000000100000000000000001110010000001000101100000000000000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000110110001001100000000000000000010000001011000110000100010110000000000000000000000000000000000001000000000000000011100100000010001011000000000000001110111111111111100001100000001000000010000000000100000000000000000000010000000000100001101100010011000000000000000000000000010110001100001000101100000000000000000000000000000000000010000000000000000111001000000100010110000000000000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000011011000100110000000000000000000000000101100011000010001011000000000000000000000000000000000000100000000000000001110010000001000101100000000000000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000110111100010101001011011111111010000001011000110000100010110011000000000000000000000000000000001010000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001101111000101010010110111111110100000010110001100001000101100110000000000000000000000000000000010100000000000001111001000000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000011011110001010100101101111111100000000101100011000010001011001100000000000000000000000000000000101000000000000011110010000001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000110111100010101001011011111111000000001011000110000100010110011000000000000000000000000000000001010000000000000111100100000010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001101111000101010010111000011110100000010110001110001000101100100000000000000000000000000000000001100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000011011110001010100101110000111101000000101100011100010001011001000000000000000000000000000000000011000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000110111100010101001011100001111000000001011000111000100010110010000000000000000000000000000000000110000000000000111100100100010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001101111000101010010111000011110000000010110001110001000101100100000000000000000000000000000000001100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000011011110001010100101010000111101100000000011111100010001011001100000000000000000000000000000000011000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000110111100010101001010100001111011000000000111111000100010110011000000000000000000000000000000000110000000000000111100100100010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001101111000101010010101000011110010000000001111110001000101100110000000000000000000000000000000001100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000011011110001010100101010000111100100000000011111100010001011001100000000000000000000000000000000011000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000110111100010101001010000001111011000000000111111000100010110010000000000000000000000000000000000110000000000000111100100100010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100001101111000101010010100000011110110000000001111110001000101100100000000000000000000000000000000001100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000011011110001010100101000000111100100000000011111100010001011001000000000000000000000000000000000011000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000110111100010101001010000001111001000000000111111000100010110010000000000000000000000000000000000110000000000000111100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001101011000111010010110000011110110000000001111110001000101100110000000000000000000000000000000001100000000000001111001001000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000011010110001110100101100000111101100000000011111100010001011001100000000000000000000000000000000011000000000000011110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000110101100011101001011000001111001000000000111111000100010110011000000000000000000000000000000000110000000000000111100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001101011000111010010110000011110010000000001111110001000101100110000000000000000000000000000000001100000000000001111001001000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000011010110001110100101110010000001100000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000110101100011101001011100100000011000000000111111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001101011000111010010111001000000010000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000011010110001110100101110010000000100000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000110101100011101001010100100000010100000000111111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001101011000111010010101001000000101000000001111110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000011010110001110100101010010000000010000000011111100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000110101100011101001010100100000000100000000111111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001101011000111010010100001000000101000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000011010110001110100101000010000001010000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000110101100011101001010000100000000100000000111111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100001101011000111010010100001000000001000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000011011110001101100101100010000001010000000011111100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000110111100011011001011000100000010100000000111111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001101111000110110010110001000000001000000001111110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000011011110001101100101100010000000010000000011111100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000110111100011011001011100011001010100000000111111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001101111000110110010111000110010101000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000011011110001101100101110001100100010000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000110111100011011001011100011001000100000000111111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001101111000110110010101000110010100000000001111110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000011011110001101100101010001100101000000000011111100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000110111100011011001010100011001000000000000111111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001101111000110110010101000110010000000000001111110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000011011110001101100101000001100101000000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000110111100011011001010000011001010000000000111111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100001101111000110110010100000110010000000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000011011110001101100101000001100100000000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000110111100011011001011000011001010000000000111111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001101111000110110010110000110010100000000001111110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000011011110001101100101100001100100000000000011111100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000110111100011011001011000011001000000000000111111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001101111000110110010111000110010100000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000011011110001101100101110001100101000000000011111100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000110111100011011001011100011001000000000000111111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001101111000110110010111000110010000000000001111110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000011011110001101100101010001100101100000000110011100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000110111100011011001010100011001011000000001100111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001101111000110110010101000110010010000000011001110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000011011110001101100101010001100100100000000110011100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000110111100011011001010000011001011000000001100111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100001101111000110110010100000110010110000000011001110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000011011110001101100101000001100100100000000110011100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000110111100011011001010000011001001000000001100111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001101111000110111110110000110010110000000011001110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000011011110001101111101100001100101100000000110011100010001011001100000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000110111100011011111011000011001001000000001100111000100010110011000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001101111000110111110110000110010010000000011001110001000101100110000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000011011110001101111101110000000001100000000110011100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000110111100011011111011100000000011000000001100111000100010110010000000000000000000000000000000001110000000000000111100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001101111000110111110111000000000010000000011001110001000101100100000000000000000000000000000000011100000000000001111001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000011011110001101111101110000000000100000000110011100010001011001000000000000000000000000000000000111000000000000011110010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000110111100011011111010100000000010000000001100111000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001101111000110111110101000000000100000000011001110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000011011110001101111101010000000000000000000110011100010001011001100000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000110111100011011111010100000000000000000001100111000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001101111000110111110100000000000100000000011001110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000011011110001101111101000000000001000000000110011100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000110111100011011111010000000000000000000001100111000100010110010000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100001101111000110111110100000000000000000000011001110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000011010110001011100101100000000001000000000110011100010001011001100000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000110101100010111001011000000000010000000001100111000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001101011000101110010110000000000000000000011001110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000011010110001011100101100000000000000000000110011100010001011001100000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000110101100010111001011110010100010000000001100111000100010110010000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001101011000101110010111100101000100000000011001110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000011010110001011100101111001010000000000000110011100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000110101100010111001011110010100000000000001100111000100010110010000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001101011000101110010101100101000110000010010100110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000011010110001011100101011001010001100000100101001100010001011001100000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000110101100010111001010110010100001000001001010011000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001101011000101110010101100101000010000010010100110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000011010110001011100101001001010001100000100101001100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000110101100010111001010010010100011000001001010011000100010110010000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100001101011000101110010100100101000010000010010100110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000011010110001011100101001001010000100000100101001100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000110111100010111001011010010100011000001001010011000100010110011000000000000000000000000000000001110000000000000101000001100010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001101111000101110010110100101000110000010010100110001000101100110000000000000000000000000000000011100000000000001010000011000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011011110001011100101101001010000100000100101001100010001011001100000000000000000000000000000000111000000000000010100000110001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000110111100010111001011010010100001000001001010011000100010110011000000000000000000000000000000001110000000000000101000001100010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001101111000101110010111111110000110000010010100110001000101100100000000000000000000000000000000011100000000000001010000011000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011011110001011100101111111100001100000100101001100010001011001000000000000000000000000000000000111000000000000010100000110001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000110111100010111001011111111000001000001001010011000100010110010000000000000000000000000000000001110000000000000101000001100010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001101111000101110010111111110000010000010010100110001000101100100000000000000000000000000000000011100000000000001010000011000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011011110001011100101011111100001000000100101001100010001011001100000000000000000000000000000000111000000000000010100000110001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000110111100010111001010111111000010000001001010011000100010110011000000000000000000000000000000001110000000000000101000001100010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001101111000101110010101111110000000000010010100110001000101100110000000000000000000000000000000011100000000000001010000011000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011011110001011100101011111100000000000100101001100010001011001100000000000000000000000000000000111000000000000010100000110001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000110111100010111001010011111000010000001001010011000100010110010000000000000000000000000000000001110000000000000101000001100010001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100001101111000101110010100111110000100000010010100110001000101100100000000000000000000000000000000011100000000000001010000011000100010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000011011110001011100101001111100000000000100101001100010001011001000000000000000000000000000000000111000000000000010100000110001000101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000110111100010111001010011111000000000001001010011000100010110010000000000000000000000000000000001110000000000000101000001100010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001101011000111110010110111110000100000010010100110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011010110001111100101101111100001000000100101001100010001011001100000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000110101100011111001011011111000000000001001010011000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001101011000111110010110111110000000000010010100110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011010110001111100101111001001101000000100101001100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000110101100011111001011110010011010000001001010011000100010110010000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001101011000111110010111100100110000000010010100110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011010110001111100101111001001100000000100101001100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000110101100011111001010110010011011000001001001111000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001101011000111110010101100100110110000010010011110001000101100110000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011010110001111100101011001001100100000100100111100010001011001100000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000110101100011111001010110010011001000001001001111000100010110011000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001101011000111110010100100100110110000010010011110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000011010110001111100101001001001101100000100100111100010001011001000000000000000000000000000000000111000000000000011010010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000110101100011111001010010010011001000001001001111000100010110010000000000000000000000000000000001110000000000000110100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100001101011000111110010100100100110010000010010011110001000101100100000000000000000000000000000000011100000000000001101001001000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011011110001111100101101001001101100000100100111100010001011001100000000000000000000000000000000111000000000000011100000110001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000110111100011111001011010010011011000001001001111000100010110011000000000000000000000000000000001110000000000000111000001100010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001101111000111110010110100100110010000010010011110001000101100110000000000000000000000000000000011100000000000001110000011000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011011110001111100101101001001100100000100100111100010001011001100000000000000000000000000000000111000000000000011100000110001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000110111100011111001011111111000011000001001001111000100010110010000000000000000000000000000000001110000000000000111000001100010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001101111000111110010111111110000110000010010011110001000101100100000000000000000000000000000000011100000000000001110000011000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011011110001111100101111111100000100000100100111100010001011001000000000000000000000000000000000111000000000000011100000110001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000110111100011111001011111111000001000001001001111000100010110010000000000000000000000000000000001110000000000000111000001100010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001101111000111110010101111110000100000010010011110001000101100110000000000000000000000000000000011100000000000001110000011000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011011110001111100101011111100001000000100100111100010001011001100000000000000000000000000000000111000000000000011100000110001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000110111100011111001010111111000000000001001001111000100010110011000000000000000000000000000000001110000000000000111000001100010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001101111000111110010101111110000000000010010011110001000101100110000000000000000000000000000000011100000000000001110000011000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011011110001111100101001111100001000000100100111100010001011001000000000000000000000000000000000111000000000000011100000110001000101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000110111100011111001010011111000010000001001001111000100010110010000000000000000000000000000000001110000000000000111000001100010001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100001101111000111110010100111110000000000010010011110001000101100100000000000000000000000000000000011100000000000001110000011000100010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000011011110001111100101001111100000000000100100111100010001011001000000000000000000000000000000000111000000000000011100000110001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000001101100010000101011011111000010000001001001111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000011011000100001010110111110000100000010010011110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000110110001000010101101111100000000000100100111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000001101100010000101011011111000000000001001001111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000011011000100001010111000011110100000010010011110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000110110001000010101110000111101000000100100111100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000001101100010000101011100001111000000001001001111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000011011000100001010111000011110000000010010011110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000110110001000010101010000111101100000000011111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000001101100010000101010100001111011000000000111111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000011011000100001010101000011110010000000001111110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000110110001000010101010000111100100000000011111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000001101100010000101010000001111011000000000111111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000000011011000100001010100000011110110000000001111110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000000110110001000010101000000111100100000000011111100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000001101100010000101010000001111001000000000111111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000011111000100001010110000011110110000000001111110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000111110001000010101100000111101100000000011111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000001111100010000101011000001111001000000000111111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000011111000100001010110000011110010000000001111110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000111110001000010101111001000001100000000011111100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000001111100010000101011110010000011000000000111111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000011111000100001010111100100000010000000001111110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000111110001000010101111001000000100000000011111100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000001111100010000101010110010000010000000000111111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000011111000100001010101100100000100000000001111110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000111110001000010101011001000000000000000011111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000001111100010000101010110010000000000000000111111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000011111000100001010100100100000100000000001111110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000000111110001000010101001001000001000000000011111100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000001111100010000101010010010000000000000000111111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000000011111000100001010100100100000000000000001111110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000110110001100010101101001000001000000000011111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000001101100011000101011010010000010000000000111111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000011011000110001010110100100000000000000001111110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000110110001100010101101001000000000000000011111100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000001101100011000101011110010101010000000000111111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000011011000110001010111100101010100000000001111110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000110110001100010101111001010100000000000011111100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000001101100011000101011110010101000000000000111111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000011011000110001010101100101010110000010010101110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000110110001100010101011001010101100000100101011100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000001101100011000101010110010101001000001001010111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000011011000110001010101100101010010000010010101110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000110110001100010101001001010101100000100101011100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000001101100011000101010010010101011000001001010111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000000011011000110001010100100101010010000010010101110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000000110110001100010101001001010100100000100101011100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000001111100011000101011010010101011000001001010111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000011111000110001010110100101010110000010010101110001000101100110000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000111110001100010101101001010100100000100101011100010001011001100000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000001111100011000101011010010101001000001001010111000100010110011000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000011111000110001010111000000000110000010010101110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000111110001100010101110000000001100000100101011100010001011001000000000000000000000000000000000111000000000000010010010110001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000001111100011000101011100000000001000001001010111000100010110010000000000000000000000000000000001110000000000000100100101100010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000011111000110001010111000000000010000010010101110001000101100100000000000000000000000000000000011100000000000001001001011000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000111110001100010101010000000001000000100101011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000001111100011000101010100000000010000001001010111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000011111000110001010101000000000000000010010101110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000111110001100010101010000000000000000100101011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000001111100011000101010000000000010000001001010111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000000011111000110001010100000000000100000010010101110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000000111110001100010101000000000000000000100101011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000001111100011000101010000000000000000001001010111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000011011000101001010110000000000100000010010101110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000110110001010010101100000000001000000100101011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000001101100010100101011000000000000000001001010111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000011011000101001010110000000000000000010010101110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000110110001010010101111011000101000000100101011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000001101100010100101011110110001010000001001010111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000011011000101001010111101100010000000010010101110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000110110001010010101111011000100000000100101011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000001101100010100101010110110001011000001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000011011000101001010101101100010110000010110001110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000110110001010010101011011000100100000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000001101100010100101010110110001001000001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000011011000101001010100101100010110000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000000110110001010010101001011000101100000101100011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000001101100010100101010010110001001000001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000000011011000101001010100101100010010000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000111110001010010101101011000101100000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000001111100010100101011010110001011000001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000011111000101001010110101100010010000010110001110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000111110001010010101101011000100100000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000001111100010100101011111100000011000001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000011111000101001010111111000000110000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000111110001010010101111110000000100000101100011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000001111100010100101011111100000001000001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000011111000101001010101111000000101000010110001110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000111110001010010101011110000001010000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000001111100010100101010111100000000100001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000011111000101001010101111000000001000010110001110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000111110001010010101001110000001010000101100011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000001111100010100101010011100000010100001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000000011111000101001010100111000000001000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000000111110001010010101001110000000010000101100011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000001101100011100101011011100000010100001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000011011000111001010110111000000101000010110001110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000110110001110010101101110000000010000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000001101100011100101011011100000000100001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000011011000111001010111000001000101000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000110110001110010101110000010001010000101100011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000001101100011100101011100000100000100001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000011011000111001010111000001000001000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000110110001110010101010000010001110000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000001101100011100101010100000100011100001011000111000100010110011000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000011011000111001010101000001000011000010110001110001000101100110000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000110110001110010101010000010000110000101100011100010001011001100000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000001101100011100101010000000100011100001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000000011011000111001010100000001000111000010110001110001000101100100000000000000000000000000000000011100000000000001011001001000100010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000000110110001110010101000000010000110000101100011100010001011001000000000000000000000000000000000111000000000000010110010010001000101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000001101100011100101010000000100001100001011000111000100010110010000000000000000000000000000000001110000000000000101100100100010001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000011100010011000000010000000000111000010110001110001000101100010000000000000000000000000000000011000000000000000011001001000100010110000000000000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000111000100110000000100000000001110000101100011100010001011000100000000000000000000000000000000110000000000000000110010010001000101100000000000000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000001110001001100000001000000000001100001011000111000100010110001000000000000000000000000000000001100000000000000001100100100010001011000000000000001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000011100010011000000010000000000011000010110001110001000101100010000000000000000000000000000000011000000000000000011001001000100010110000000000000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000111000100110000000110000000001110000101100011100010001011000000000000000000000000000000000000100000000000000000110010010001000101100000000000000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000001110001001100000001100000000011100001011000111000100010110000000000000000000000000000000000001000000000000000001100100100010001011000000000000001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000011100010011000000011000000000011000010110001110001000101100000000000000000000000000000000000010000000000000000011001001000100010110000000000000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000111000100110000000110000000000110000101100011100010001011000000000000000000000000000000000000100000000000000000110010010001000101100000000000000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000001110001001100000000100000000010000001011000111000100010110001000000000000000000000000000000001000000000000000001100100100010001011000000000000001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000011100010011000000001000000000100000010110001110001000101100010000000000000000000000000000000010000000000000000011001001000100010110000000000000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000111000100110000000010000000000000000101100011100010001011000100000000000000000000000000000000100000000000000000110010010001000101100000000000000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000001110001001100000000100000000000000001011000111000100010110001000000000000000000000000000000001000000000000000001100100100010001011000000000000001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000011100010011000000000000000000100000010110001110001000101100000000000000000000000000000000000010000000000000000011001001000100010110000000000000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000000111000100110000000000000000001000000101100011100010001011000000000000000000000000000000000000100000000000000000110010010001000101100000000000000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000001110001001100000000000000000000000001011000111000100010110000000000000000000000000000000000001000000000000000001100100100010001011000000000000001110111111111111100001100001001000000010000000000100000000000000000000000000000000000000011100010011000000000000000000000000010110001110001000101100000000000000000000000000000000000010000000000000000011001001000100010110000000000000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000111110001110010101101111111101000000101100011100010001011001100000000000000000000000000000000101000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000001111100011100101011011111111010000001011000111000100010110011000000000000000000000000000000001010000000000000101100100100010001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000011111000111001010110111111110000000010110001110001000101100110000000000000000000000000000000010100000000000001011001001000100010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000111110001110010101101111111100000000101100011100010001011001100000000000000000000000000000000101000000000000010110010010001000101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000001111100011100101011100010011010000001011000110000110010110010000000000000000000000000000000000110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000011111000111001010111000100110100000010110001100001100101100100000000000000000000000000000000001100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000111110001110010101110001001100000000101100011000011001011001000000000000000000000000000000000011000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000001111100011100101011100010011000000001011000110000110010110010000000000000000000000000000000000110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000011111000111001010101000100110110000000010011100001100101100110000000000000000000000000000000001100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000111110001110010101010001001101100000000100111000011001011001100000000000000000000000000000000011000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000001111100011100101010100010011001000000001001110000110010110011000000000000000000000000000000000110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000011111000111001010101000100110010000000010011100001100101100110000000000000000000000000000000001100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000111110001110010101000001001101100000000100111000011001011001000000000000000000000000000000000011000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000001111100011100101010000010011011000000001001110000110010110010000000000000000000000000000000000110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000000011111000111001010100000100110010000000010011100001100101100100000000000000000000000000000000001100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000000111110001110010101000001001100100000000100111000011001011001000000000000000000000000000000000011000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000001111100011011111011000010011011000000001001110000110010110011000000000000000000000000000000000110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000011111000110111110110000100110110000000010011100001100101100110000000000000000000000000000000001100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000111110001101111101100001001100100000000100111000011001011001100000000000000000000000000000000011000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000001111100011011111011000010011001000000001001110000110010110011000000000000000000000000000000000110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000011111000110111110111000000000110000000010011100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000111110001101111101110000000001100000000100111000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000001111100011011111011100000000001000000001001110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000011111000110111110111000000000010000000010011100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000111110001101111101010000000001000000000100111000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000001111100011011111010100000000010000000001001110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000011111000110111110101000000000000000000010011100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000111110001101111101010000000000000000000100111000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000001111100011011111010000000000010000000001001110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000000011111000110111110100000000000100000000010011100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000000111110001101111101000000000000000000000100111000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000001111100011011111010000000000000000000001001110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000011011000100101010110000000000100000000010011100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000110110001001010101100000000001000000000100111000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000001101100010010101011000000000000000000001001110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000011011000100101010110000000000000000000010011100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000110110001001010101110011001001000000000100111000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000001101100010010101011100110010010000000001001110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000011011000100101010111001100100000000000010011100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000110110001001010101110011001000000000000100111000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000001101100010010101010100110010011000000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000011011000100101010101001100100110000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000110110001001010101010011001000100000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000001101100010010101010100110010001000000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000011011000100101010100001100100110000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000000110110001001010101000011001001100000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000001101100010010101010000110010001000000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000000011011000100101010100001100100010000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000111110001001010101100011001001100000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000001111100010010101011000110010011000000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000011111000100101010110001100100010000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000111110001001010101100011001000100000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000001111100010010101011111011001011000000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000011111000100101010111110110010110000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000111110001001010101111101100100100000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000001111100010010101011111011001001000000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000011111000100101010101110110010101000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000111110001001010101011101100101010000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000001111100010010101010111011001000100000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000011111000100101010101110110010001000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000111110001001010101001101100101010000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000001111100010010101010011011001010100000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000000011111000100101010100110110010001000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000000111110001001010101001101100100010000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000001101100011010101011011011001010100000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000011011000110101010110110110010101000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000110110001101010101101101100100010000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000001101100011010101011011011001000100000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000011011000110101010111011100010101000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000110110001101010101110111000101010000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000001101100011010101011101110001000100000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000011011000110101010111011100010001000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000110110001101010101010111000101000000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000001101100011010101010101110001010000000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000011011000110101010101011100010000000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000110110001101010101010111000100000000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000001101100011010101010001110001010000000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000000011011000110101010100011100010100000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000000110110001101010101000111000100000000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000001101100011010101010001110001000000000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000011111000110110010110011100010100000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000111110001101100101100111000101000000001100101000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000001111100011011001011001110001000000000011001010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000011111000110110010110011100010000000000110010100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000111110001101100101110001100101000000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000001111100011011001011100011001010000000011001010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000011111000110110010111000110010000000000110010100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000111110001101100101110001100100000000001100101000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000001111100011011001010100011001011000000001100110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000011111000110110010101000110010110000000011001100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000111110001101100101010001100100100000000110011000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000001111100011011001010100011001001000000001100110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000011111000110110010100000110010110000000011001100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000000111110001101100101000001100101100000000110011000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000001111100011011001010000011001001000000001100110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000000011111000110110010100000110010010000000011001100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000111110001101111101100001100101100000000110011000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000001111100011011111011000011001011000000001100110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000011111000110111110110000110010010000000011001100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000111110001101111101100001100100100000000110011000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000001111100011011111011100000000011000000001100110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000011111000110111110111000000000110000000011001100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000111110001101111101110000000000100000000110011000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000001111100011011111011100000000001000000001100110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000011111000110111110101000000000100000000011001100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000111110001101111101010000000001000000000110011000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000001111100011011111010100000000000000000001100110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000011111000110111110101000000000000000000011001100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000111110001101111101000000000001000000000110011000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000001111100011011111010000000000010000000001100110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000000011111000110111110100000000000000000000011001100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000000111110001101111101000000000000000000000110011000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000001101100010111001011000000000010000000001100110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000011011000101110010110000000000100000000011001100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000110110001011100101100000000000000000000110011000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000001101100010111001011000000000000000000001100110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000011011000101110010111100101000100000000011001100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000110110001011100101111001010001000000000110011000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000001101100010111001011110010100000000000001100110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000011011000101110010111100101000000000000011001100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000110110001011100101011001010001100000100101001000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000001101100010111001010110010100011000001001010010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000011011000101110010101100101000010000010010100100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000110110001011100101011001010000100000100101001000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000001101100010111001010010010100011000001001010010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000000011011000101110010100100101000110000010010100100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000000110110001011100101001001010000100000100101001000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000001101100010111001010010010100001000001001010010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000011111000101110010110100101000110000010010100100001100101100110000000000000000000000000000000011100000000000001010000010000110010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000111110001011100101101001010001100000100101001000011001011001100000000000000000000000000000000111000000000000010100000100001100101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000001111100010111001011010010100001000001001010010000110010110011000000000000000000000000000000001110000000000000101000001000011001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000011111000101110010110100101000010000010010100100001100101100110000000000000000000000000000000011100000000000001010000010000110010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000111110001011100101111111100001100000100101001000011001011001000000000000000000000000000000000111000000000000010100000100001100101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000001111100010111001011111111000011000001001010010000110010110010000000000000000000000000000000001110000000000000101000001000011001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000011111000101110010111111110000010000010010100100001100101100100000000000000000000000000000000011100000000000001010000010000110010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000111110001011100101111111100000100000100101001000011001011001000000000000000000000000000000000111000000000000010100000100001100101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000001111100010111001010111111000010000001001010010000110010110011000000000000000000000000000000001110000000000000101000001000011001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000011111000101110010101111110000100000010010100100001100101100110000000000000000000000000000000011100000000000001010000010000110010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000111110001011100101011111100000000000100101001000011001011001100000000000000000000000000000000111000000000000010100000100001100101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000001111100010111001010111111000000000001001010010000110010110011000000000000000000000000000000001110000000000000101000001000011001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000011111000101110010100111110000100000010010100100001100101100100000000000000000000000000000000011100000000000001010000010000110010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000000111110001011100101001111100001000000100101001000011001011001000000000000000000000000000000000111000000000000010100000100001100101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000001111100010111001010011111000000000001001010010000110010110010000000000000000000000000000000001110000000000000101000001000011001011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000000011111000101110010100111110000000000010010100100001100101100100000000000000000000000000000000011100000000000001010000010000110010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000110110001111100101101111100001000000100101001000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000001101100011111001011011111000010000001001010010000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000011011000111110010110111110000000000010010100100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000110110001111100101101111100000000000100101001000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000001101100011111001011110010011010000001001010010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000011011000111110010111100100110100000010010100100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000110110001111100101111001001100000000100101001000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000001101100011111001011110010011000000001001010010000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000011011000111110010101100100110110000010010011100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000110110001111100101011001001101100000100100111000011001011001100000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000001101100011111001010110010011001000001001001110000110010110011000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000011011000111110010101100100110010000010010011100001100101100110000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000110110001111100101001001001101100000100100111000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000001101100011111001010010010011011000001001001110000110010110010000000000000000000000000000000001110000000000000100100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000000011011000111110010100100100110010000010010011100001100101100100000000000000000000000000000000011100000000000001001001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000000110110001111100101001001001100100000100100111000011001011001000000000000000000000000000000000111000000000000010010010000001100101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000001111100011111001011010010011011000001001001110000110010110011000000000000000000000000000000001110000000000000111000001000011001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000011111000111110010110100100110110000010010011100001100101100110000000000000000000000000000000011100000000000001110000010000110010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000111110001111100101101001001100100000100100111000011001011001100000000000000000000000000000000111000000000000011100000100001100101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000001111100011111001011010010011001000001001001110000110010110011000000000000000000000000000000001110000000000000111000001000011001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000011111000111110010111111110000110000010010011100001100101100100000000000000000000000000000000011100000000000001110000010000110010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000111110001111100101111111100001100000100100111000011001011001000000000000000000000000000000000111000000000000011100000100001100101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000001111100011111001011111111000001000001001001110000110010110010000000000000000000000000000000001110000000000000111000001000011001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000011111000111110010111111110000010000010010011100001100101100100000000000000000000000000000000011100000000000001110000010000110010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000111110001111100101011111100001000000100100111000011001011001100000000000000000000000000000000111000000000000011100000100001100101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000001111100011111001010111111000010000001001001110000110010110011000000000000000000000000000000001110000000000000111000001000011001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000011111000111110010101111110000000000010010011100001100101100110000000000000000000000000000000011100000000000001110000010000110010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000111110001111100101011111100000000000100100111000011001011001100000000000000000000000000000000111000000000000011100000100001100101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000001111100011111001010011111000010000001001001110000110010110010000000000000000000000000000000001110000000000000111000001000011001011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000000011111000111110010100111110000100000010010011100001100101100100000000000000000000000000000000011100000000000001110000010000110010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000000111110001111100101001111100000000000100100111000011001011001000000000000000000000000000000000111000000000000011100000100001100101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000001111100011111001010011111000000000001001001110000110010110010000000000000000000000000000000001110000000000000111000001000011001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000011011000100001010110111110000100000010010011100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000110110001000010101101111100001000000100100111000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000001101100010000101011011111000000000001001001110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000011011000100001010110111110000000000010010011100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000110110001000010101110000111101000000100100111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000001101100010000101011100001111010000001001001110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000011011000100001010111000011110000000010010011100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000110110001000010101110000111100000000100100111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000001101100010000101010100001111011000000000111110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000011011000100001010101000011110110000000001111100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000110110001000010101010000111100100000000011111000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000001101100010000101010100001111001000000000111110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000011011000100001010100000011110110000000001111100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000000110110001000010101000000111101100000000011111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000001101100010000101010000001111001000000000111110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000000000011011000100001010100000011110010000000001111100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000111110001000010101100000111101100000000011111000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000001111100010000101011000001111011000000000111110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000011111000100001010110000011110010000000001111100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000111110001000010101100000111100100000000011111000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000001111100010000101011110010000011000000000111110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000011111000100001010111100100000110000000001111100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000111110001000010101111001000000100000000011111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000001111100010000101011110010000001000000000111110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000011111000100001010101100100000100000000001111100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000111110001000010101011001000001000000000011111000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000001111100010000101010110010000000000000000111110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000011111000100001010101100100000000000000001111100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000111110001000010101001001000001000000000011111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000001111100010000101010010010000010000000000111110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000000011111000100001010100100100000000000000001111100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000000111110001000010101001001000000000000000011111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000001101100011000101011010010000010000000000111110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000011011000110001010110100100000100000000001111100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000110110001100010101101001000000000000000011111000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000001101100011000101011010010000000000000000111110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000011011000110001010111100101010100000000001111100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000110110001100010101111001010101000000000011111000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000001101100011000101011110010101000000000000111110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000011011000110001010111100101010000000000001111100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000110110001100010101011001010101100000100101011000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000001101100011000101010110010101011000001001010110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000011011000110001010101100101010010000010010101100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000110110001100010101011001010100100000100101011000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000001101100011000101010010010101011000001001010110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000000011011000110001010100100101010110000010010101100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000000110110001100010101001001010100100000100101011000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000001101100011000101010010010101001000001001010110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000011111000110001010110100101010110000010010101100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000111110001100010101101001010101100000100101011000011001011001100000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000001111100011000101011010010101001000001001010110000110010110011000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000011111000110001010110100101010010000010010101100001100101100110000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000111110001100010101110000000001100000100101011000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000001111100011000101011100000000011000001001010110000110010110010000000000000000000000000000000001110000000000000100100101000011001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000011111000110001010111000000000010000010010101100001100101100100000000000000000000000000000000011100000000000001001001010000110010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000111110001100010101110000000000100000100101011000011001011001000000000000000000000000000000000111000000000000010010010100001100101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000001111100011000101010100000000010000001001010110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000011111000110001010101000000000100000010010101100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000111110001100010101010000000000000000100101011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000001111100011000101010100000000000000001001010110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000011111000110001010100000000000100000010010101100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000000111110001100010101000000000001000000100101011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000001111100011000101010000000000000000001001010110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000000011111000110001010100000000000000000010010101100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000110110001010010101100000000001000000100101011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000001101100010100101011000000000010000001001010110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000011011000101001010110000000000000000010010101100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000110110001010010101100000000000000000100101011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000001101100010100101011110110001010000001001010110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000011011000101001010111101100010100000010010101100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000110110001010010101111011000100000000100101011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000001101100010100101011110110001000000001001010110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000011011000101001010101101100010110000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000110110001010010101011011000101100000101100011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000001101100010100101010110110001001000001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000011011000101001010101101100010010000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000110110001010010101001011000101100000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000001101100010100101010010110001011000001011000110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000000011011000101001010100101100010010000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000000110110001010010101001011000100100000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000001111100010100101011010110001011000001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000011111000101001010110101100010110000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000111110001010010101101011000100100000101100011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000001111100010100101011010110001001000001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000011111000101001010111111000000110000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000111110001010010101111110000001100000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000001111100010100101011111100000001000001011000110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000011111000101001010111111000000010000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000111110001010010101011110000001010000101100011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000001111100010100101010111100000010100001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000011111000101001010101111000000001000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000111110001010010101011110000000010000101100011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000001111100010100101010011100000010100001011000110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000000011111000101001010100111000000101000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000000111110001010010101001110000000010000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000001111100010100101010011100000000100001011000110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000011011000111001010110111000000101000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000110110001110010101101110000001010000101100011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000001101100011100101011011100000000100001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000011011000111001010110111000000001000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000110110001110010101110000010001010000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000001101100011100101011100000100010100001011000110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000011011000111001010111000001000001000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000110110001110010101110000010000010000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000001101100011100101010100000100011100001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000011011000111001010101000001000111000010110001100001100101100110000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000110110001110010101010000010000110000101100011000011001011001100000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000001101100011100101010100000100001100001011000110000110010110011000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000011011000111001010100000001000111000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000000110110001110010101000000010001110000101100011000011001011001000000000000000000000000000000000111000000000000010110010000001100101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000001101100011100101010000000100001100001011000110000110010110010000000000000000000000000000000001110000000000000101100100000011001011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000000011011000111001010100000001000011000010110001100001100101100100000000000000000000000000000000011100000000000001011001000000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000111000100110000000100000000001110000101100011000011001011000100000000000000000000000000000000110000000000000000110010000001100101100000000000000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000001110001001100000001000000000011100001011000110000110010110001000000000000000000000000000000001100000000000000001100100000011001011000000000000001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000011100010011000000010000000000011000010110001100001100101100010000000000000000000000000000000011000000000000000011001000000110010110000000000000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000111000100110000000100000000000110000101100011000011001011000100000000000000000000000000000000110000000000000000110010000001100101100000000000000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000001110001001100000001100000000011100001011000110000110010110000000000000000000000000000000000001000000000000000001100100000011001011000000000000001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000011100010011000000011000000000111000010110001100001100101100000000000000000000000000000000000010000000000000000011001000000110010110000000000000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000111000100110000000110000000000110000101100011000011001011000000000000000000000000000000000000100000000000000000110010000001100101100000000000000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000001110001001100000001100000000001100001011000110000110010110000000000000000000000000000000000001000000000000000001100100000011001011000000000000001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000011100010011000000001000000000100000010110001100001100101100010000000000000000000000000000000010000000000000000011001000000110010110000000000000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000111000100110000000010000000001000000101100011000011001011000100000000000000000000000000000000100000000000000000110010000001100101100000000000000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000001110001001100000000100000000000000001011000110000110010110001000000000000000000000000000000001000000000000000001100100000011001011000000000000001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000011100010011000000001000000000000000010110001100001100101100010000000000000000000000000000000010000000000000000011001000000110010110000000000000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000111000100110000000000000000001000000101100011000011001011000000000000000000000000000000000000100000000000000000110010000001100101100000000000000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000001110001001100000000000000000010000001011000110000110010110000000000000000000000000000000000001000000000000000001100100000011001011000000000000001110111111111111100001100000001000000010000000000000000000001000000000010000000000000000011100010011000000000000000000000000010110001100001100101100000000000000000000000000000000000010000000000000000011001000000110010110000000000000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000000111000100110000000000000000000000000101100011000011001011000000000000000000000000000000000000100000000000000000110010000001100101100000000000000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000001111100011100101011011111111010000001011000110000110010110011000000000000000000000000000000001010000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000011111000111001010110111111110100000010110001100001100101100110000000000000000000000000000000010100000000000001011001000000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000111110001110010101101111111100000000101100011000011001011001100000000000000000000000000000000101000000000000010110010000001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000001111100011100101011011111111000000001011000110000110010110011000000000000000000000000000000001010000000000000101100100000011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000011111000111001010111000100110100000010110001110001100101100100000000000000000000000000000000001100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000111110001110010101110001001101000000101100011100011001011001000000000000000000000000000000000011000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000001111100011100101011100010011000000001011000111000110010110010000000000000000000000000000000000110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000011111000111001010111000100110000000010110001110001100101100100000000000000000000000000000000001100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000111110001110010101010001001101100000000100111100011001011001100000000000000000000000000000000011000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000001111100011100101010100010011011000000001001111000110010110011000000000000000000000000000000000110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000011111000111001010101000100110010000000010011110001100101100110000000000000000000000000000000001100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000111110001110010101010001001100100000000100111100011001011001100000000000000000000000000000000011000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000001111100011100101010000010011011000000001001111000110010110010000000000000000000000000000000000110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000000011111000111001010100000100110110000000010011110001100101100100000000000000000000000000000000001100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000000111110001110010101000001001100100000000100111100011001011001000000000000000000000000000000000011000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000001111100011100101010000010011001000000001001111000110010110010000000000000000000000000000000000110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000011111000110111110110000100110110000000010011110001100101100110000000000000000000000000000000001100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000111110001101111101100001001101100000000100111100011001011001100000000000000000000000000000000011000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000001111100011011111011000010011001000000001001111000110010110011000000000000000000000000000000000110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000011111000110111110110000100110010000000010011110001100101100110000000000000000000000000000000001100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000111110001101111101110000000001100000000100111100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000001111100011011111011100000000011000000001001111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000011111000110111110111000000000010000000010011110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000111110001101111101110000000000100000000100111100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000001111100011011111010100000000010000000001001111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000011111000110111110101000000000100000000010011110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000111110001101111101010000000000000000000100111100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000001111100011011111010100000000000000000001001111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000011111000110111110100000000000100000000010011110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000000000000111110001101111101000000000001000000000100111100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000000000001111100011011111010000000000000000000001001111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000000000011111000110111110100000000000000000000010011110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000110110001001010101100000000001000000000100111100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000001101100010010101011000000000010000000001001111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000011011000100101010110000000000000000000010011110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000110110001001010101100000000000000000000100111100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000001101100010010101011100110010010000000001001111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000011011000100101010111001100100100000000010011110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000110110001001010101110011001000000000000100111100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000001101100010010101011100110010000000000001001111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000011011000100101010101001100100110000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000110110001001010101010011001001100000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000001101100010010101010100110010001000000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000011011000100101010101001100100010000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000110110001001010101000011001001100000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000000000001101100010010101010000110010011000000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000000000011011000100101010100001100100010000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000000000000110110001001010101000011001000100000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000000000001111100010010101011000110010011000000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000000000011111000100101010110001100100110000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000000000000111110001001010101100011001000100000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000001111100010010101011000110010001000000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000000011111000100101010111110110010110000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000000111110001001010101111101100101100000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000001111100010010101011111011001001000000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000000011111000100101010111110110010010000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000000111110001001010101011101100101010000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000001111100010010101010111011001010100000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000000011111000100101010101110110010001000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000000111110001001010101011101100100010000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000001111100010010101010011011001010100000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000100000000001000000000010000000000000000011111000100101010100110110010101000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000001000000000010000000000100000000000000000111110001001010101001101100100010000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000010000000000100000000001000000000000000001111100010010101010011011001000100000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000000011011000110101010110110110010101000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000000110110001101010101101101100101010000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000001101100011010101011011011001000100000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000000011011000110101010110110110010001000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000000110110001101010101110111000101010000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000001101100011010101011101110001010100000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000000011011000110101010111011100010001000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000000110110001101010101110111000100010000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000001101100011010101010101110001010000000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000000011011000110101010101011100010100000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000000110110001101010101010111000100000000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000001101100011010101010101110001000000000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000000011011000110101010100011100010100000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000100000000001000000000010000000000100000000000000000110110001101010101000111000101000000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000001000000000010000000000100000000001000000000000000001101100011010101010001110001000000000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000010000000000100000000001000000000010000000000000000011011000110101010100011100010000000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000000111110001101100101100111000101000000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000001111100011011001011001110001010000000011001011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100000011111000110110010110011100010000000000110010110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000000111110001101100101100111000100000000001100101100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000001111100011011001011100011001010000000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100000011111000110110010111000110010100000000110010110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000000111110001101100101110001100100000000001100101100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000001111100011011001011100011001000000000011001011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100000011111000110110010101000110010110000000011001110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000000111110001101100101010001100101100000000110011100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000001111100011011001010100011001001000000001100111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100000011111000110110010101000110010010000000011001110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000000111110001101100101000001100101100000000110011100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000000000000000000000000000000000000010000001111100011011001010000011001011000000001100111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000000000000000000000000000000000000100000011111000110110010100000110010010000000011001110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000000000000000000000000000000000000001000000111110001101100101000001100100100000000110011100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000001111100011011111011000011001011000000001100111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100000011111000110111110110000110010110000000011001110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000000111110001101111101100001100100100000000110011100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000001111100011011111011000011001001000000001100111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100000011111000110111110111000000000110000000011001110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000000111110001101111101110000000001100000000110011100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000001111100011011111011100000000001000000001100111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100000011111000110111110111000000000010000000011001110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000000111110001101111101010000000001000000000110011100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000001111100011011111010100000000010000000001100111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100000011111000110111110101000000000000000000011001110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000000111110001101111101010000000000000000000110011100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000001111100011011111010000000000010000000001100111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000000000000000000000000000100000011111000110111110100000000000100000000011001110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000000000000000000000000001000000111110001101111101000000000000000000000110011100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000000000000000000000000010000001111100011011111010000000000000000000001100111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000100000011011000101110010110000000000100000000011001110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000001000000110110001011100101100000000001000000000110011100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000010000001101100010111001011000000000000000000001100111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000100000011011000101110010110000000000000000000011001110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000001000000110110001011100101111001010001000000000110011100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000010000001101100010111001011110010100010000000001100111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000100000011011000101110010111100101000000000000011001110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000001000000110110001011100101111001010000000000000110011100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000010000001101100010111001010110010100011000001001010011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000100000011011000101110010101100101000110000010010100110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000001000000110110001011100101011001010000100000100101001100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000010000001101100010111001010110010100001000001001010011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000100000011011000101110010100100101000110000010010100110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000100000000000000000000000000000000000000000001000000110110001011100101001001010001100000100101001100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000001000000000000000000000000000000000000000000010000001101100010111001010010010100001000001001010011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000010000000000000000000000000000000000000000000100000011011000101110010100100101000010000010010100110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000001000000111110001011100101101001010001100000100101001100011001011001100000000000000000000000000000000111000000000000010100000110001100101100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000010000001111100010111001011010010100011000001001010011000110010110011000000000000000000000000000000001110000000000000101000001100011001011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000100000011111000101110010110100101000010000010010100110001100101100110000000000000000000000000000000011100000000000001010000011000110010110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000001000000111110001011100101101001010000100000100101001100011001011001100000000000000000000000000000000111000000000000010100000110001100101100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000010000001111100010111001011111111000011000001001010011000110010110010000000000000000000000000000000001110000000000000101000001100011001011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000100000011111000101110010111111110000110000010010100110001100101100100000000000000000000000000000000011100000000000001010000011000110010110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000001000000111110001011100101111111100000100000100101001100011001011001000000000000000000000000000000000111000000000000010100000110001100101100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000010000001111100010111001011111111000001000001001010011000110010110010000000000000000000000000000000001110000000000000101000001100011001011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000100000011111000101110010101111110000100000010010100110001100101100110000000000000000000000000000000011100000000000001010000011000110010110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000001000000111110001011100101011111100001000000100101001100011001011001100000000000000000000000000000000111000000000000010100000110001100101100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000010000001111100010111001010111111000000000001001010011000110010110011000000000000000000000000000000001110000000000000101000001100011001011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000100000011111000101110010101111110000000000010010100110001100101100110000000000000000000000000000000011100000000000001010000011000110010110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000001000000111110001011100101001111100001000000100101001100011001011001000000000000000000000000000000000111000000000000010100000110001100101100111111110000011011111111111110000110000100100000001000000000000000000000000000000000000000000010000001111100010111001010011111000010000001001010011000110010110010000000000000000000000000000000001110000000000000101000001100011001011001111111100000110111111111111100001100001001000000010000000000000000000000000000000000000000000100000011111000101110010100111110000000000010010100110001100101100100000000000000000000000000000000011100000000000001010000011000110010110011111111000001101111111111111000011000010010000000100000000000000000000000000000000000000000001000000111110001011100101001111100000000000100101001100011001011001000000000000000000000000000000000111000000000000010100000110001100101100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000010000001101100011111001011011111000010000001001010011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000100000011011000111110010110111110000100000010010100110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000001000000110110001111100101101111100000000000100101001100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000010000001101100011111001011011111000000000001001010011000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000100000011011000111110010111100100110100000010010100110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000001000000110110001111100101111001001101000000100101001100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000010000001101100011111001011110010011000000001001010011000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000100000011011000111110010111100100110000000010010100110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000001000000110110001111100101011001001101100000100100111100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000010000001101100011111001010110010011011000001001001111000110010110011000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000100000011011000111110010101100100110010000010010011110001100101100110000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000001000000110110001111100101011001001100100000100100111100011001011001100000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000010000001101100011111001010010010011011000001001001111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100000001000000000000000000100000000000000000000000000000000100000011011000111110010100100100110110000010010011110001100101100100000000000000000000000000000000011100000000000001001001001000110010110011111111000001101111111111111000011000000010000000000000000001000000000000000000000000000000001000000110110001111100101001001001100100000100100111100011001011001000000000000000000000000000000000111000000000000010010010010001100101100111111110000011011111111111110000110000000100000000000000000010000000000000000000000000000000010000001101100011111001010010010011001000001001001111000110010110010000000000000000000000000000000001110000000000000100100100100011001011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000100000011111000111110010110100100110110000010010011110001100101100110000000000000000000000000000000011100000000000001110000011000110010110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000001000000111110001111100101101001001101100000100100111100011001011001100000000000000000000000000000000111000000000000011100000110001100101100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000010000001111100011111001011010010011001000001001001111000110010110011000000000000000000000000000000001110000000000000111000001100011001011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000100000011111000111110010110100100110010000010010011110001100101100110000000000000000000000000000000011100000000000001110000011000110010110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000001000000111110001111100101111111100001100000100100111100011001011001000000000000000000000000000000000111000000000000011100000110001100101100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000010000001111100011111001011111111000011000001001001111000110010110010000000000000000000000000000000001110000000000000111000001100011001011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000100000011111000111110010111111110000010000010010011110001100101100100000000000000000000000000000000011100000000000001110000011000110010110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000001000000111110001111100101111111100000100000100100111100011001011001000000000000000000000000000000000111000000000000011100000110001100101100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000010000001111100011111001010111111000010000001001001111000110010110011000000000000000000000000000000001110000000000000111000001100011001011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000100000011111000111110010101111110000100000010010011110001100101100110000000000000000000000000000000011100000000000001110000011000110010110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000001000000111110001111100101011111100000000000100100111100011001011001100000000000000000000000000000000111000000000000011100000110001100101100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000010000001111100011111001010111111000000000001001001111000110010110011000000000000000000000000000000001110000000000000111000001100011001011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000100000011111000111110010100111110000100000010010011110001100101100100000000000000000000000000000000011100000000000001110000011000110010110011111111000001101111111111111000011000010010000000000000000001000000000000000000000000000000001000000111110001111100101001111100001000000100100111100011001011001000000000000000000000000000000000111000000000000011100000110001100101100111111110000011011111111111110000110000100100000000000000000010000000000000000000000000000000010000001111100011111001010011111000000000001001001111000110010110010000000000000000000000000000000001110000000000000111000001100011001011001111111100000110111111111111100001100001001000000000000000000100000000000000000000000000000000100000011111000111110010100111110000000000010010011110001100101100100000000000000000000000000000000011100000000000001110000011000110010110011111111000001101111111111111000011000000010000000100000000001000000000000000000000000000000001000000110110001000010101101111100001000000100100111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000001000000000010000000000000000000000000000000010000001101100010000101011011111000010000001001001111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000010000000000100000000000000000000000000000000100000011011000100001010110111110000000000010010011110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000100000000001000000000000000000000000000000001000000110110001000010101101111100000000000100100111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000001000000000010000000000000000000000000000000010000001101100010000101011100001111010000001001001111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000010000000000100000000000000000000000000000000100000011011000100001010111000011110100000010010011110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000100000000001000000000000000000000000000000001000000110110001000010101110000111100000000100100111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000001000000000010000000000000000000000000000000010000001101100010000101011100001111000000001001001111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000010000000000100000000000000000000000000000000100000011011000100001010101000011110110000000001111110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000100000000001000000000000000000000000000000001000000110110001000010101010000111101100000000011111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000001000000000010000000000000000000000000000000010000001101100010000101010100001111001000000000111111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000010000000000100000000000000000000000000000000100000011011000100001010101000011110010000000001111110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000100000000001000000000000000000000000000000001000000110110001000010101000000111101100000000011111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000001000000000010000000000000000000000000000000010000001101100010000101010000001111011000000000111111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000010000000000100000000000000000000000000000000100000011011000100001010100000011110010000000001111110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000100000000001000000000000000000000000000000001000000110110001000010101000000111100100000000011111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000001000000000010000000000000000000000000000000010000001111100010000101011000001111011000000000111111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000010000000000100000000000000000000000000000000100000011111000100001010110000011110110000000001111110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000100000000001000000000000000000000000000000001000000111110001000010101100000111100100000000011111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000001000000000010000000000000000000000000000000010000001111100010000101011000001111001000000000111111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000010000000000100000000000000000000000000000000100000011111000100001010111100100000110000000001111110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000100000000001000000000000000000000000000000001000000111110001000010101111001000001100000000011111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000001000000000010000000000000000000000000000000010000001111100010000101011110010000001000000000111111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000010000000000100000000000000000000000000000000100000011111000100001010111100100000010000000001111110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000100000000001000000000000000000000000000000001000000111110001000010101011001000001000000000011111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000001000000000010000000000000000000000000000000010000001111100010000101010110010000010000000000111111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000010000000000100000000000000000000000000000000100000011111000100001010101100100000000000000001111110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000100000000001000000000000000000000000000000001000000111110001000010101011001000000000000000011111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000001000000000010000000000000000000000000000000010000001111100010000101010010010000010000000000111111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000010000000000100000000000000000000000000000000100000011111000100001010100100100000100000000001111110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000100000000001000000000000000000000000000000001000000111110001000010101001001000000000000000011111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000001000000000010000000000000000000000000000000010000001111100010000101010010010000000000000000111111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000000000000000000000000001000000000000000000000100000011011000110001010110100100000100000000001111110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000000000000000000000000010000000000000000000001000000110110001100010101101001000001000000000011111100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000000000000000000000000000100000000000000000000010000001101100011000101011010010000000000000000111111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000000000000000000000000001000000000000000000000100000011011000110001010110100100000000000000001111110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000000000000000000000000010000000000000000000001000000110110001100010101111001010101000000000011111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000000000000000000000000000100000000000000000000010000001101100011000101011110010101010000000000111111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000000000000000000000000001000000000000000000000100000011011000110001010111100101010000000000001111110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000000000000000000000000010000000000000000000001000000110110001100010101111001010100000000000011111100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000000000000000000000000000100000000000000000000010000001101100011000101010110010101011000001001010111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000000000000000000000000001000000000000000000000100000011011000110001010101100101010110000010010101110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000000000000000000000000010000000000000000000001000000110110001100010101011001010100100000100101011100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000000000000000000000000000100000000000000000000010000001101100011000101010110010101001000001001010111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000000000000000000000000001000000000000000000000100000011011000110001010100100101010110000010010101110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000000010000000000000000000000000000010000000000000000000001000000110110001100010101001001010101100000100101011100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000000100000000000000000000000000000100000000000000000000010000001101100011000101010010010101001000001001010111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100000001000000000000000000000000000001000000000000000000000100000011011000110001010100100101010010000010010101110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000000000000000000000000010000000000000000000001000000111110001100010101101001010101100000100101011100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000000000000000000000000000100000000000000000000010000001111100011000101011010010101011000001001010111000110010110011000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000000000000000000000000001000000000000000000000100000011111000110001010110100101010010000010010101110001100101100110000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000000000000000000000000010000000000000000000001000000111110001100010101101001010100100000100101011100011001011001100000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000000000000000000000000000100000000000000000000010000001111100011000101011100000000011000001001010111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000000000000000000000000001000000000000000000000100000011111000110001010111000000000110000010010101110001100101100100000000000000000000000000000000011100000000000001001001011000110010110011111111000001101111111111111000011000010010000000000000000000000000000010000000000000000000001000000111110001100010101110000000000100000100101011100011001011001000000000000000000000000000000000111000000000000010010010110001100101100111111110000011011111111111110000110000100100000000000000000000000000000100000000000000000000010000001111100011000101011100000000001000001001010111000110010110010000000000000000000000000000000001110000000000000100100101100011001011001111111100000110111111111111100001100001001000000000000000000000000000001000000000000000000000100000011111000110001010101000000000100000010010101110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000010000000000000000000001000000111110001100010101010000000001000000100101011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000100000000000000000000010000001111100011000101010100000000000000001001010111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000001000000000000000000000100000011111000110001010101000000000000000010010101110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000010000000000000000000001000000111110001100010101000000000001000000100101011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000011011111111111110000110000100100000000000000000000000000000100000000000000000000010000001111100011000101010000000000010000001001010111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100000110111111111111100001100001001000000000000000000000000000001000000000000000000000100000011111000110001010100000000000000000010010101110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000001101111111111111000011000010010000000000000000000000000000010000000000000000000001000000111110001100010101000000000000000000100101011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000011011111111111110000110000000100000001000000000000000000000100000000000000000000010000001101100010100101011000000000010000001001010111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000011011000101001010110000000000100000010010101110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000110110001010010101100000000000000000100101011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000001101100010100101011000000000000000001001010111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000011011000101001010111101100010100000010010101110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000110110001010010101111011000101000000100101011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000001101100010100101011110110001000000001001010111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000011011000101001010111101100010000000010010101110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000110110001010010101011011000101100000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000001101100010100101010110110001011000001011000111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000011011000101001010101101100010010000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000110110001010010101011011000100100000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000001101100010100101010010110001011000001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000100000011011000101001010100101100010110000010110001110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000001000000110110001010010101001011000100100000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000010000001101100010100101010010110001001000001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000011111000101001010110101100010110000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000111110001010010101101011000101100000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000001111100010100101011010110001001000001011000111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000011111000101001010110101100010010000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000111110001010010101111110000001100000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000001111100010100101011111100000011000001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000011111000101001010111111000000010000010110001110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000111110001010010101111110000000100000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000001111100010100101010111100000010100001011000111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000011111000101001010101111000000101000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000111110001010010101011110000000010000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000001111100010100101010111100000000100001011000111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000011111000101001010100111000000101000010110001110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000001000000111110001010010101001110000001010000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000010000001111100010100101010011100000000100001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000100000011111000101001010100111000000001000010110001110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000110110001110010101101110000001010000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000001101100011100101011011100000010100001011000111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000011011000111001010110111000000001000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000110110001110010101101110000000010000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000001101100011100101011100000100010100001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000011011000111001010111000001000101000010110001110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000110110001110010101110000010000010000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000001101100011100101011100000100000100001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000011011000111001010101000001000111000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000110110001110010101010000010001110000101100011100011001011001100000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000001101100011100101010100000100001100001011000111000110010110011000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000011011000111001010101000001000011000010110001110001100101100110000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000110110001110010101000000010001110000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000010000001101100011100101010000000100011100001011000111000110010110010000000000000000000000000000000001110000000000000101100100100011001011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000100000011011000111001010100000001000011000010110001110001100101100100000000000000000000000000000000011100000000000001011001001000110010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000001000000110110001110010101000000010000110000101100011100011001011001000000000000000000000000000000000111000000000000010110010010001100101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000001110001001100000001000000000011100001011000111000110010110001000000000000000000000000000000001100000000000000001100100100011001011000000000000001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000011100010011000000010000000000111000010110001110001100101100010000000000000000000000000000000011000000000000000011001001000110010110000000000000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000111000100110000000100000000000110000101100011100011001011000100000000000000000000000000000000110000000000000000110010010001100101100000000000000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000001110001001100000001000000000001100001011000111000110010110001000000000000000000000000000000001100000000000000001100100100011001011000000000000001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000011100010011000000011000000000111000010110001110001100101100000000000000000000000000000000000010000000000000000011001001000110010110000000000000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000111000100110000000110000000001110000101100011100011001011000000000000000000000000000000000000100000000000000000110010010001100101100000000000000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000001110001001100000001100000000001100001011000111000110010110000000000000000000000000000000000001000000000000000001100100100011001011000000000000001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000011100010011000000011000000000011000010110001110001100101100000000000000000000000000000000000010000000000000000011001001000110010110000000000000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000111000100110000000010000000001000000101100011100011001011000100000000000000000000000000000000100000000000000000110010010001100101100000000000000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000001110001001100000000100000000010000001011000111000110010110001000000000000000000000000000000001000000000000000001100100100011001011000000000000001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000011100010011000000001000000000000000010110001110001100101100010000000000000000000000000000000010000000000000000011001001000110010110000000000000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000111000100110000000010000000000000000101100011100011001011000100000000000000000000000000000000100000000000000000110010010001100101100000000000000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000001110001001100000000000000000010000001011000111000110010110000000000000000000000000000000000001000000000000000001100100100011001011000000000000001110111111111111100001100001001000000000000000000100000000001000000000000000000000100000011100010011000000000000000000100000010110001110001100101100000000000000000000000000000000000010000000000000000011001001000110010110000000000000011101111111111111000011000010010000000000000000001000000000010000000000000000000001000000111000100110000000000000000000000000101100011100011001011000000000000000000000000000000000000100000000000000000110010010001100101100000000000000111011111111111110000110000100100000000000000000010000000000100000000000000000000010000001110001001100000000000000000000000001011000111000110010110000000000000000000000000000000000001000000000000000001100100100011001011000000000000001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000011111000111001010110111111110100000010110001110001100101100110000000000000000000000000000000010100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000111110001110010101101111111101000000101100011100011001011001100000000000000000000000000000000101000000000000010110010010001100101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000001111100011100101011011111111000000001011000111000110010110011000000000000000000000000000000001010000000000000101100100100011001011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000011111000111001010110111111110000000010110001110001100101100110000000000000000000000000000000010100000000000001011001001000110010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000111110001110010101110001001101000000101100011000010101011001000000000000000000000000000000000011000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000001111100011100101011100010011010000001011000110000101010110010000000000000000000000000000000000110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000011111000111001010111000100110000000010110001100001010101100100000000000000000000000000000000001100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000111110001110010101110001001100000000101100011000010101011001000000000000000000000000000000000011000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000001111100011100101010100010011011000000001001110000101010110011000000000000000000000000000000000110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000011111000111001010101000100110110000000010011100001010101100110000000000000000000000000000000001100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000111110001110010101010001001100100000000100111000010101011001100000000000000000000000000000000011000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000001111100011100101010100010011001000000001001110000101010110011000000000000000000000000000000000110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000011111000111001010100000100110110000000010011100001010101100100000000000000000000000000000000001100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000001000000111110001110010101000001001101100000000100111000010101011001000000000000000000000000000000000011000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000010000001111100011100101010000010011001000000001001110000101010110010000000000000000000000000000000000110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000100000011111000111001010100000100110010000000010011100001010101100100000000000000000000000000000000001100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000111110001101111101100001001101100000000100111000010101011001100000000000000000000000000000000011000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000001111100011011111011000010011011000000001001110000101010110011000000000000000000000000000000000110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000011111000110111110110000100110010000000010011100001010101100110000000000000000000000000000000001100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000111110001101111101100001001100100000000100111000010101011001100000000000000000000000000000000011000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000001111100011011111011100000000011000000001001110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000011111000110111110111000000000110000000010011100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000111110001101111101110000000000100000000100111000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000001111100011011111011100000000001000000001001110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000011111000110111110101000000000100000000010011100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000111110001101111101010000000001000000000100111000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000001111100011011111010100000000000000000001001110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000011111000110111110101000000000000000000010011100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000111110001101111101000000000001000000000100111000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000010000001111100011011111010000000000010000000001001110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000100000011111000110111110100000000000000000000010011100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000001000000111110001101111101000000000000000000000100111000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000001101100010010101011000000000010000000001001110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000011011000100101010110000000000100000000010011100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000110110001001010101100000000000000000000100111000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000001101100010010101011000000000000000000001001110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000011011000100101010111001100100100000000010011100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000110110001001010101110011001001000000000100111000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000001101100010010101011100110010000000000001001110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000011011000100101010111001100100000000000010011100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000110110001001010101010011001001100000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000001101100010010101010100110010011000000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000011011000100101010101001100100010000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000110110001001010101010011001000100000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000001101100010010101010000110010011000000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000100000011011000100101010100001100100110000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000001000000110110001001010101000011001000100000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000010000001101100010010101010000110010001000000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000011111000100101010110001100100110000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000111110001001010101100011001001100000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000001111100010010101011000110010001000000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000011111000100101010110001100100010000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000111110001001010101111101100101100000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000001111100010010101011111011001011000000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000011111000100101010111110110010010000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000111110001001010101111101100100100000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000001111100010010101010111011001010100000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000011111000100101010101110110010101000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000111110001001010101011101100100010000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000001111100010010101010111011001000100000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000011111000100101010100110110010101000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000001000000111110001001010101001101100101010000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000010000001111100010010101010011011001000100000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000100000011111000100101010100110110010001000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000110110001101010101101101100101010000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000001101100011010101011011011001010100000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000011011000110101010110110110010001000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000110110001101010101101101100100010000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000001101100011010101011101110001010100000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000011011000110101010111011100010101000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000110110001101010101110111000100010000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000001101100011010101011101110001000100000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000011011000110101010101011100010100000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000110110001101010101010111000101000000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000001101100011010101010101110001000000000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000011011000110101010101011100010000000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000110110001101010101000111000101000000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000010000001101100011010101010001110001010000000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000100000011011000110101010100011100010000000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000001000000110110001101010101000111000100000000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000001111100011011001011001110001010000000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000011111000110110010110011100010100000000110010100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000111110001101100101100111000100000000001100101000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000001111100011011001011001110001000000000011001010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000011111000110110010111000110010100000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000111110001101100101110001100101000000001100101000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000001111100011011001011100011001000000000011001010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000011111000110110010111000110010000000000110010100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000111110001101100101010001100101100000000110011000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000001111100011011001010100011001011000000001100110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000011111000110110010101000110010010000000011001100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000111110001101100101010001100100100000000110011000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000001111100011011001010000011001011000000001100110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000010000000000100000011111000110110010100000110010110000000011001100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000001000000111110001101100101000001100100100000000110011000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000001000000000010000001111100011011001010000011001001000000001100110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000011111000110111110110000110010110000000011001100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000111110001101111101100001100101100000000110011000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000001111100011011111011000011001001000000001100110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000011111000110111110110000110010010000000011001100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000111110001101111101110000000001100000000110011000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000001111100011011111011100000000011000000001100110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000011111000110111110111000000000010000000011001100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000111110001101111101110000000000100000000110011000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000001111100011011111010100000000010000000001100110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000011111000110111110101000000000100000000011001100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000111110001101111101010000000000000000000110011000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000001111100011011111010100000000000000000001100110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000011111000110111110100000000000100000000011001100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000001000000111110001101111101000000000001000000000110011000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000010000001111100011011111010000000000000000000001100110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000100000011111000110111110100000000000000000000011001100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000110110001011100101100000000001000000000110011000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000001101100010111001011000000000010000000001100110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000011011000101110010110000000000000000000011001100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000110110001011100101100000000000000000000110011000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000001101100010111001011110010100010000000001100110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000011011000101110010111100101000100000000011001100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000110110001011100101111001010000000000000110011000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000001101100010111001011110010100000000000001100110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000011011000101110010101100101000110000010010100100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000110110001011100101011001010001100000100101001000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000001101100010111001010110010100001000001001010010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000011011000101110010101100101000010000010010100100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000110110001011100101001001010001100000100101001000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000010000001101100010111001010010010100011000001001010010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000100000011011000101110010100100101000010000010010100100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000001000000110110001011100101001001010000100000100101001000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000001111100010111001011010010100011000001001010010000101010110011000000000000000000000000000000001110000000000000101000001000010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000011111000101110010110100101000110000010010100100001010101100110000000000000000000000000000000011100000000000001010000010000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000111110001011100101101001010000100000100101001000010101011001100000000000000000000000000000000111000000000000010100000100001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000001111100010111001011010010100001000001001010010000101010110011000000000000000000000000000000001110000000000000101000001000010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000011111000101110010111111110000110000010010100100001010101100100000000000000000000000000000000011100000000000001010000010000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000111110001011100101111111100001100000100101001000010101011001000000000000000000000000000000000111000000000000010100000100001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000001111100010111001011111111000001000001001010010000101010110010000000000000000000000000000000001110000000000000101000001000010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000011111000101110010111111110000010000010010100100001010101100100000000000000000000000000000000011100000000000001010000010000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000111110001011100101011111100001000000100101001000010101011001100000000000000000000000000000000111000000000000010100000100001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000001111100010111001010111111000010000001001010010000101010110011000000000000000000000000000000001110000000000000101000001000010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000011111000101110010101111110000000000010010100100001010101100110000000000000000000000000000000011100000000000001010000010000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000111110001011100101011111100000000000100101001000010101011001100000000000000000000000000000000111000000000000010100000100001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000001111100010111001010011111000010000001001010010000101010110010000000000000000000000000000000001110000000000000101000001000010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000100000011111000101110010100111110000100000010010100100001010101100100000000000000000000000000000000011100000000000001010000010000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000001000000111110001011100101001111100000000000100101001000010101011001000000000000000000000000000000000111000000000000010100000100001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000010000001111100010111001010011111000000000001001010010000101010110010000000000000000000000000000000001110000000000000101000001000010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000011011000111110010110111110000100000010010100100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000110110001111100101101111100001000000100101001000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000001101100011111001011011111000000000001001010010000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000011011000111110010110111110000000000010010100100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000110110001111100101111001001101000000100101001000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000001101100011111001011110010011010000001001010010000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000011011000111110010111100100110000000010010100100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000110110001111100101111001001100000000100101001000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000001101100011111001010110010011011000001001001110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000011011000111110010101100100110110000010010011100001010101100110000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000110110001111100101011001001100100000100100111000010101011001100000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000001101100011111001010110010011001000001001001110000101010110011000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000011011000111110010100100100110110000010010011100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000001000000110110001111100101001001001101100000100100111000010101011001000000000000000000000000000000000111000000000000010010010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000010000001101100011111001010010010011001000001001001110000101010110010000000000000000000000000000000001110000000000000100100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000100000011011000111110010100100100110010000010010011100001010101100100000000000000000000000000000000011100000000000001001001000000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000111110001111100101101001001101100000100100111000010101011001100000000000000000000000000000000111000000000000011100000100001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000001111100011111001011010010011011000001001001110000101010110011000000000000000000000000000000001110000000000000111000001000010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000011111000111110010110100100110010000010010011100001010101100110000000000000000000000000000000011100000000000001110000010000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000111110001111100101101001001100100000100100111000010101011001100000000000000000000000000000000111000000000000011100000100001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000001111100011111001011111111000011000001001001110000101010110010000000000000000000000000000000001110000000000000111000001000010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000011111000111110010111111110000110000010010011100001010101100100000000000000000000000000000000011100000000000001110000010000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000111110001111100101111111100000100000100100111000010101011001000000000000000000000000000000000111000000000000011100000100001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000001111100011111001011111111000001000001001001110000101010110010000000000000000000000000000000001110000000000000111000001000010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000011111000111110010101111110000100000010010011100001010101100110000000000000000000000000000000011100000000000001110000010000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000111110001111100101011111100001000000100100111000010101011001100000000000000000000000000000000111000000000000011100000100001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000001111100011111001010111111000000000001001001110000101010110011000000000000000000000000000000001110000000000000111000001000010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000011111000111110010101111110000000000010010011100001010101100110000000000000000000000000000000011100000000000001110000010000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000111110001111100101001111100001000000100100111000010101011001000000000000000000000000000000000111000000000000011100000100001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000010000001111100011111001010011111000010000001001001110000101010110010000000000000000000000000000000001110000000000000111000001000010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000100000011111000111110010100111110000000000010010011100001010101100100000000000000000000000000000000011100000000000001110000010000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000001000000111110001111100101001111100000000000100100111000010101011001000000000000000000000000000000000111000000000000011100000100001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000001101100010000101011011111000010000001001001110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000011011000100001010110111110000100000010010011100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000110110001000010101101111100000000000100100111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000001101100010000101011011111000000000001001001110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000011011000100001010111000011110100000010010011100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000110110001000010101110000111101000000100100111000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000001101100010000101011100001111000000001001001110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000011011000100001010111000011110000000010010011100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000110110001000010101010000111101100000000011111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000001101100010000101010100001111011000000000111110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000011011000100001010101000011110010000000001111100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000110110001000010101010000111100100000000011111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000001101100010000101010000001111011000000000111110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000100000011011000100001010100000011110110000000001111100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000001000000110110001000010101000000111100100000000011111000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000010000001101100010000101010000001111001000000000111110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000011111000100001010110000011110110000000001111100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000111110001000010101100000111101100000000011111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000001111100010000101011000001111001000000000111110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000011111000100001010110000011110010000000001111100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000111110001000010101111001000001100000000011111000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000001111100010000101011110010000011000000000111110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000011111000100001010111100100000010000000001111100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000111110001000010101111001000000100000000011111000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000001111100010000101010110010000010000000000111110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000011111000100001010101100100000100000000001111100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000111110001000010101011001000000000000000011111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000001111100010000101010110010000000000000000111110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000011111000100001010100100100000100000000001111100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000001000000111110001000010101001001000001000000000011111000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000010000001111100010000101010010010000000000000000111110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000100000011111000100001010100100100000000000000001111100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000110110001100010101101001000001000000000011111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000001101100011000101011010010000010000000000111110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000011011000110001010110100100000000000000001111100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000110110001100010101101001000000000000000011111000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000001101100011000101011110010101010000000000111110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000011011000110001010111100101010100000000001111100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000110110001100010101111001010100000000000011111000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000001101100011000101011110010101000000000000111110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000011011000110001010101100101010110000010010101100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000110110001100010101011001010101100000100101011000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000001101100011000101010110010101001000001001010110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000011011000110001010101100101010010000010010101100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000110110001100010101001001010101100000100101011000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000010000001101100011000101010010010101011000001001010110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000100000011011000110001010100100101010010000010010101100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000001000000110110001100010101001001010100100000100101011000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000001111100011000101011010010101011000001001010110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000011111000110001010110100101010110000010010101100001010101100110000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000111110001100010101101001010100100000100101011000010101011001100000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000001111100011000101011010010101001000001001010110000101010110011000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000011111000110001010111000000000110000010010101100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000111110001100010101110000000001100000100101011000010101011001000000000000000000000000000000000111000000000000010010010100001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000001111100011000101011100000000001000001001010110000101010110010000000000000000000000000000000001110000000000000100100101000010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000011111000110001010111000000000010000010010101100001010101100100000000000000000000000000000000011100000000000001001001010000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000111110001100010101010000000001000000100101011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000001111100011000101010100000000010000001001010110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000011111000110001010101000000000000000010010101100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000111110001100010101010000000000000000100101011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000001111100011000101010000000000010000001001010110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000010000000000100000011111000110001010100000000000100000010010101100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000100000000001000000111110001100010101000000000000000000100101011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000001000000000010000001111100011000101010000000000000000001001010110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000011011000101001010110000000000100000010010101100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000110110001010010101100000000001000000100101011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000001101100010100101011000000000000000001001010110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000011011000101001010110000000000000000010010101100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000110110001010010101111011000101000000100101011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000001101100010100101011110110001010000001001010110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000011011000101001010111101100010000000010010101100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000110110001010010101111011000100000000100101011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000001101100010100101010110110001011000001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000011011000101001010101101100010110000010110001100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000110110001010010101011011000100100000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000001101100010100101010110110001001000001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000011011000101001010100101100010110000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000100000000001000000110110001010010101001011000101100000101100011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000001000000000010000001101100010100101010010110001001000001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000010000000000100000011011000101001010100101100010010000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000111110001010010101101011000101100000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000001111100010100101011010110001011000001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000011111000101001010110101100010010000010110001100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000111110001010010101101011000100100000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000001111100010100101011111100000011000001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000011111000101001010111111000000110000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000111110001010010101111110000000100000101100011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000001111100010100101011111100000001000001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000011111000101001010101111000000101000010110001100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000111110001010010101011110000001010000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000001111100010100101010111100000000100001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000011111000101001010101111000000001000010110001100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000111110001010010101001110000001010000101100011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000001000000000010000001111100010100101010011100000010100001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000010000000000100000011111000101001010100111000000001000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000100000000001000000111110001010010101001110000000010000101100011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000001101100011100101011011100000010100001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000011011000111001010110111000000101000010110001100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000110110001110010101101110000000010000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000001101100011100101011011100000000100001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000011011000111001010111000001000101000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000110110001110010101110000010001010000101100011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000001101100011100101011100000100000100001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000011011000111001010111000001000001000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000110110001110010101010000010001110000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000001101100011100101010100000100011100001011000110000101010110011000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000011011000111001010101000001000011000010110001100001010101100110000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000110110001110010101010000010000110000101100011000010101011001100000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000001101100011100101010000000100011100001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000010000000000100000011011000111001010100000001000111000010110001100001010101100100000000000000000000000000000000011100000000000001011001000000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000100000000001000000110110001110010101000000010000110000101100011000010101011001000000000000000000000000000000000111000000000000010110010000001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000001000000000010000001101100011100101010000000100001100001011000110000101010110010000000000000000000000000000000001110000000000000101100100000010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001011100010011000000010000000000111000010110001100001010101100010000000000000000000000000000000011000000000000000011001000000101010110000000000000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010111000100110000000100000000001110000101100011000010101011000100000000000000000000000000000000110000000000000000110010000001010101100000000000000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000101110001001100000001000000000001100001011000110000101010110001000000000000000000000000000000001100000000000000001100100000010101011000000000000001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001011100010011000000010000000000011000010110001100001010101100010000000000000000000000000000000011000000000000000011001000000101010110000000000000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010111000100110000000110000000001110000101100011000010101011000000000000000000000000000000000000100000000000000000110010000001010101100000000000000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000101110001001100000001100000000011100001011000110000101010110000000000000000000000000000000000001000000000000000001100100000010101011000000000000001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001011100010011000000011000000000011000010110001100001010101100000000000000000000000000000000000010000000000000000011001000000101010110000000000000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010111000100110000000110000000000110000101100011000010101011000000000000000000000000000000000000100000000000000000110010000001010101100000000000000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000101110001001100000000100000000010000001011000110000101010110001000000000000000000000000000000001000000000000000001100100000010101011000000000000001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001011100010011000000001000000000100000010110001100001010101100010000000000000000000000000000000010000000000000000011001000000101010110000000000000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010111000100110000000010000000000000000101100011000010101011000100000000000000000000000000000000100000000000000000110010000001010101100000000000000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000101110001001100000000100000000000000001011000110000101010110001000000000000000000000000000000001000000000000000001100100000010101011000000000000001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001011100010011000000000000000000100000010110001100001010101100000000000000000000000000000000000010000000000000000011001000000101010110000000000000011101111111111111000011000000010000000000000000000000000000000000000000000000000000000010111000100110000000000000000001000000101100011000010101011000000000000000000000000000000000000100000000000000000110010000001010101100000000000000111011111111111110000110000000100000000000000000000000000000000000000000000000000000000101110001001100000000000000000000000001011000110000101010110000000000000000000000000000000000001000000000000000001100100000010101011000000000000001110111111111111100001100000001000000000000000000000000000000000000000000000000000000001011100010011000000000000000000000000010110001100001010101100000000000000000000000000000000000010000000000000000011001000000101010110000000000000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010111110001110010101101111111101000000101100011000010101011001100000000000000000000000000000000101000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000101111100011100101011011111111010000001011000110000101010110011000000000000000000000000000000001010000000000000101100100000010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001011111000111001010110111111110000000010110001100001010101100110000000000000000000000000000000010100000000000001011001000000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010111110001110010101101111111100000000101100011000010101011001100000000000000000000000000000000101000000000000010110010000001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000101111100011100101011100010011010000001011000111000101010110010000000000000000000000000000000000110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001011111000111001010111000100110100000010110001110001010101100100000000000000000000000000000000001100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010111110001110010101110001001100000000101100011100010101011001000000000000000000000000000000000011000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000101111100011100101011100010011000000001011000111000101010110010000000000000000000000000000000000110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001011111000111001010101000100110110000000010011110001010101100110000000000000000000000000000000001100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010111110001110010101010001001101100000000100111100010101011001100000000000000000000000000000000011000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000101111100011100101010100010011001000000001001111000101010110011000000000000000000000000000000000110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001011111000111001010101000100110010000000010011110001010101100110000000000000000000000000000000001100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010111110001110010101000001001101100000000100111100010101011001000000000000000000000000000000000011000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000000000000000000000101111100011100101010000010011011000000001001111000101010110010000000000000000000000000000000000110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000000000000000000001011111000111001010100000100110010000000010011110001010101100100000000000000000000000000000000001100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000000000000000000010111110001110010101000001001100100000000100111100010101011001000000000000000000000000000000000011000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000101111100011011111011000010011011000000001001111000101010110011000000000000000000000000000000000110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001011111000110111110110000100110110000000010011110001010101100110000000000000000000000000000000001100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010111110001101111101100001001100100000000100111100010101011001100000000000000000000000000000000011000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000101111100011011111011000010011001000000001001111000101010110011000000000000000000000000000000000110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001011111000110111110111000000000110000000010011110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010111110001101111101110000000001100000000100111100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000101111100011011111011100000000001000000001001111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001011111000110111110111000000000010000000010011110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010111110001101111101010000000001000000000100111100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000101111100011011111010100000000010000000001001111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001011111000110111110101000000000000000000010011110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010111110001101111101010000000000000000000100111100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000101111100011011111010000000000010000000001001111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000000000000000000001011111000110111110100000000000100000000010011110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000000000000000000010111110001101111101000000000000000000000100111100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000000000000000000000101111100011011111010000000000000000000001001111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001011011000100101010110000000000100000000010011110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010110110001001010101100000000001000000000100111100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000101101100010010101011000000000000000000001001111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001011011000100101010110000000000000000000010011110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010110110001001010101110011001001000000000100111100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000101101100010010101011100110010010000000001001111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001011011000100101010111001100100000000000010011110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010110110001001010101110011001000000000000100111100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000101101100010010101010100110010011000000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001011011000100101010101001100100110000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010110110001001010101010011001000100000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000101101100010010101010100110010001000000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001011011000100101010100001100100110000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000000000000000000010110110001001010101000011001001100000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000000000000000000000000000000000000000000101101100010010101010000110010001000000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000000000000000000000000000000000000000001011011000100101010100001100100010000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010111110001001010101100011001001100000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000101111100010010101011000110010011000000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001011111000100101010110001100100010000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010111110001001010101100011001000100000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000101111100010010101011111011001011000000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001011111000100101010111110110010110000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010111110001001010101111101100100100000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000101111100010010101011111011001001000000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001011111000100101010101110110010101000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010111110001001010101011101100101010000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000101111100010010101010111011001000100000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001011111000100101010101110110010001000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010111110001001010101001101100101010000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000000000000000000000101111100010010101010011011001010100000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000000000000000000001011111000100101010100110110010001000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000000000000000000010111110001001010101001101100100010000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000101101100011010101011011011001010100000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001011011000110101010110110110010101000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010110110001101010101101101100100010000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000101101100011010101011011011001000100000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001011011000110101010111011100010101000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010110110001101010101110111000101010000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000101101100011010101011101110001000100000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001011011000110101010111011100010001000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010110110001101010101010111000101000000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000101101100011010101010101110001010000000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001011011000110101010101011100010000000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010110110001101010101010111000100000000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000101101100011010101010001110001010000000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000000000000000000001011011000110101010100011100010100000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000000000000000000010110110001101010101000111000100000000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000000000000000010000000000000000000000000000000000000101101100011010101010001110001000000000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001011111000110110010110011100010100000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010111110001101100101100111000101000000001100101100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000101111100011011001011001110001000000000011001011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001011111000110110010110011100010000000000110010110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010111110001101100101110001100101000000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000101111100011011001011100011001010000000011001011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001011111000110110010111000110010000000000110010110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010111110001101100101110001100100000000001100101100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000101111100011011001010100011001011000000001100111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001011111000110110010101000110010110000000011001110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010111110001101100101010001100100100000000110011100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000101111100011011001010100011001001000000001100111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001011111000110110010100000110010110000000011001110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000000000000000000010111110001101100101000001100101100000000110011100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000010000000000000000000000000000000000000101111100011011001010000011001001000000001100111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000000000000000000001011111000110110010100000110010010000000011001110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010111110001101111101100001100101100000000110011100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000101111100011011111011000011001011000000001100111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001011111000110111110110000110010010000000011001110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010111110001101111101100001100100100000000110011100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000101111100011011111011100000000011000000001100111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001011111000110111110111000000000110000000011001110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010111110001101111101110000000000100000000110011100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000101111100011011111011100000000001000000001100111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001011111000110111110101000000000100000000011001110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010111110001101111101010000000001000000000110011100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000101111100011011111010100000000000000000001100111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001011111000110111110101000000000000000000011001110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010111110001101111101000000000001000000000110011100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000000000000000000000000000000101111100011011111010000000000010000000001100111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000000000000000000001011111000110111110100000000000000000000011001110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000000000000000000010111110001101111101000000000000000000000110011100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000101101100010111001011000000000010000000001100111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001011011000101110010110000000000100000000011001110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010110110001011100101100000000000000000000110011100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000101101100010111001011000000000000000000001100111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001011011000101110010111100101000100000000011001110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010110110001011100101111001010001000000000110011100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000101101100010111001011110010100000000000001100111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001011011000101110010111100101000000000000011001110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010110110001011100101011001010001100000100101001100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000101101100010111001010110010100011000001001010011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001011011000101110010101100101000010000010010100110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010110110001011100101011001010000100000100101001100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000101101100010111001010010010100011000001001010011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000000000000000000001011011000101110010100100101000110000010010100110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000000000000000000010110110001011100101001001010000100000100101001100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000100000000000000000000000000101101100010111001010010010100001000001001010011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001011111000101110010110100101000110000010010100110001010101100110000000000000000000000000000000011100000000000001010000011000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010111110001011100101101001010001100000100101001100010101011001100000000000000000000000000000000111000000000000010100000110001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000101111100010111001011010010100001000001001010011000101010110011000000000000000000000000000000001110000000000000101000001100010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001011111000101110010110100101000010000010010100110001010101100110000000000000000000000000000000011100000000000001010000011000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010111110001011100101111111100001100000100101001100010101011001000000000000000000000000000000000111000000000000010100000110001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000101111100010111001011111111000011000001001010011000101010110010000000000000000000000000000000001110000000000000101000001100010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001011111000101110010111111110000010000010010100110001010101100100000000000000000000000000000000011100000000000001010000011000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010111110001011100101111111100000100000100101001100010101011001000000000000000000000000000000000111000000000000010100000110001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000101111100010111001010111111000010000001001010011000101010110011000000000000000000000000000000001110000000000000101000001100010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001011111000101110010101111110000100000010010100110001010101100110000000000000000000000000000000011100000000000001010000011000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010111110001011100101011111100000000000100101001100010101011001100000000000000000000000000000000111000000000000010100000110001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000101111100010111001010111111000000000001001010011000101010110011000000000000000000000000000000001110000000000000101000001100010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001011111000101110010100111110000100000010010100110001010101100100000000000000000000000000000000011100000000000001010000011000101010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000000000000000000010111110001011100101001111100001000000100101001100010101011001000000000000000000000000000000000111000000000000010100000110001010101100111111110000111011111111111110000110000100100000000000000000000000000000100000000000000000000000000101111100010111001010011111000000000001001010011000101010110010000000000000000000000000000000001110000000000000101000001100010101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000000000000000000001011111000101110010100111110000000000010010100110001010101100100000000000000000000000000000000011100000000000001010000011000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010110110001111100101101111100001000000100101001100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000101101100011111001011011111000010000001001010011000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001011011000111110010110111110000000000010010100110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010110110001111100101101111100000000000100101001100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000101101100011111001011110010011010000001001010011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001011011000111110010111100100110100000010010100110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010110110001111100101111001001100000000100101001100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000101101100011111001011110010011000000001001010011000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001011011000111110010101100100110110000010010011110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010110110001111100101011001001101100000100100111100010101011001100000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000101101100011111001010110010011001000001001001111000101010110011000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001011011000111110010101100100110010000010010011110001010101100110000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010110110001111100101001001001101100000100100111100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000100000000000000000000000000101101100011111001010010010011011000001001001111000101010110010000000000000000000000000000000001110000000000000100100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000000000000000000001011011000111110010100100100110010000010010011110001010101100100000000000000000000000000000000011100000000000001001001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000000000000000000010110110001111100101001001001100100000100100111100010101011001000000000000000000000000000000000111000000000000010010010010001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000101111100011111001011010010011011000001001001111000101010110011000000000000000000000000000000001110000000000000111000001100010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001011111000111110010110100100110110000010010011110001010101100110000000000000000000000000000000011100000000000001110000011000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010111110001111100101101001001100100000100100111100010101011001100000000000000000000000000000000111000000000000011100000110001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000101111100011111001011010010011001000001001001111000101010110011000000000000000000000000000000001110000000000000111000001100010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001011111000111110010111111110000110000010010011110001010101100100000000000000000000000000000000011100000000000001110000011000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010111110001111100101111111100001100000100100111100010101011001000000000000000000000000000000000111000000000000011100000110001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000101111100011111001011111111000001000001001001111000101010110010000000000000000000000000000000001110000000000000111000001100010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001011111000111110010111111110000010000010010011110001010101100100000000000000000000000000000000011100000000000001110000011000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010111110001111100101011111100001000000100100111100010101011001100000000000000000000000000000000111000000000000011100000110001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000101111100011111001010111111000010000001001001111000101010110011000000000000000000000000000000001110000000000000111000001100010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001011111000111110010101111110000000000010010011110001010101100110000000000000000000000000000000011100000000000001110000011000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010111110001111100101011111100000000000100100111100010101011001100000000000000000000000000000000111000000000000011100000110001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000101111100011111001010011111000010000001001001111000101010110010000000000000000000000000000000001110000000000000111000001100010101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000000000000000000001011111000111110010100111110000100000010010011110001010101100100000000000000000000000000000000011100000000000001110000011000101010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000000000000000000010111110001111100101001111100000000000100100111100010101011001000000000000000000000000000000000111000000000000011100000110001010101100111111110000111011111111111110000110000100100000001000000000000000000000100000000000000000000000000101111100011111001010011111000000000001001001111000101010110010000000000000000000000000000000001110000000000000111000001100010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001011011000100001010110111110000100000010010011110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010110110001000010101101111100001000000100100111100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000101101100010000101011011111000000000001001001111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001011011000100001010110111110000000000010010011110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010110110001000010101110000111101000000100100111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000101101100010000101011100001111010000001001001111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001011011000100001010111000011110000000010010011110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010110110001000010101110000111100000000100100111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000101101100010000101010100001111011000000000111111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001011011000100001010101000011110110000000001111110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010110110001000010101010000111100100000000011111100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000101101100010000101010100001111001000000000111111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001011011000100001010100000011110110000000001111110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000000000000001000000000010000000000000000000000000010110110001000010101000000111101100000000011111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000000000000000010000000000100000000000000000000000000101101100010000101010000001111001000000000111111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000000000000000100000000001000000000000000000000000001011011000100001010100000011110010000000001111110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010111110001000010101100000111101100000000011111100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000101111100010000101011000001111011000000000111111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001011111000100001010110000011110010000000001111110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010111110001000010101100000111100100000000011111100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000101111100010000101011110010000011000000000111111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001011111000100001010111100100000110000000001111110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010111110001000010101111001000000100000000011111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000101111100010000101011110010000001000000000111111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001011111000100001010101100100000100000000001111110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010111110001000010101011001000001000000000011111100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000101111100010000101010110010000000000000000111111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001011111000100001010101100100000000000000001111110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010111110001000010101001001000001000000000011111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000000000000000010000000000100000000000000000000000000101111100010000101010010010000010000000000111111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000000000000000100000000001000000000000000000000000001011111000100001010100100100000000000000001111110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000000000000001000000000010000000000000000000000000010111110001000010101001001000000000000000011111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000101101100011000101011010010000010000000000111111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001011011000110001010110100100000100000000001111110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010110110001100010101101001000000000000000011111100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000101101100011000101011010010000000000000000111111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001011011000110001010111100101010100000000001111110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010110110001100010101111001010101000000000011111100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000101101100011000101011110010101000000000000111111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001011011000110001010111100101010000000000001111110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010110110001100010101011001010101100000100101011100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000101101100011000101010110010101011000001001010111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001011011000110001010101100101010010000010010101110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010110110001100010101011001010100100000100101011100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000101101100011000101010010010101011000001001010111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100000001000000010000000000100000000001000000000000000000000000001011011000110001010100100101010110000010010101110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000000010000000100000000001000000000010000000000000000000000000010110110001100010101001001010100100000100101011100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000000100000001000000000010000000000100000000000000000000000000101101100011000101010010010101001000001001010111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001011111000110001010110100101010110000010010101110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010111110001100010101101001010101100000100101011100010101011001100000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000101111100011000101011010010101001000001001010111000101010110011000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001011111000110001010110100101010010000010010101110001010101100110000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010111110001100010101110000000001100000100101011100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000101111100011000101011100000000011000001001010111000101010110010000000000000000000000000000000001110000000000000100100101100010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001011111000110001010111000000000010000010010101110001010101100100000000000000000000000000000000011100000000000001001001011000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010111110001100010101110000000000100000100101011100010101011001000000000000000000000000000000000111000000000000010010010110001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000101111100011000101010100000000010000001001010111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001011111000110001010101000000000100000010010101110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010111110001100010101010000000000000000100101011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000101111100011000101010100000000000000001001010111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001011111000110001010100000000000100000010010101110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000100000000001000000000010000000000000000000000000010111110001100010101000000000001000000100101011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000001000000000010000000000100000000000000000000000000101111100011000101010000000000000000001001010111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000010000000000100000000001000000000000000000000000001011111000110001010100000000000000000010010101110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010110110001010010101100000000001000000100101011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000101101100010100101011000000000010000001001010111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001011011000101001010110000000000000000010010101110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010110110001010010101100000000000000000100101011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000101101100010100101011110110001010000001001010111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001011011000101001010111101100010100000010010101110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010110110001010010101111011000100000000100101011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000101101100010100101011110110001000000001001010111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001011011000101001010101101100010110000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010110110001010010101011011000101100000101100011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000101101100010100101010110110001001000001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001011011000101001010101101100010010000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010110110001010010101001011000101100000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000000000000000000000000000000000000001000000000000000101101100010100101010010110001011000001011000111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000000000000000000000000010000000000000001011011000101001010100101100010010000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000000000000000000000000000100000000000000010110110001010010101001011000100100000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000101111100010100101011010110001011000001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001011111000101001010110101100010110000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010111110001010010101101011000100100000101100011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000101111100010100101011010110001001000001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001011111000101001010111111000000110000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010111110001010010101111110000001100000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000101111100010100101011111100000001000001011000111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001011111000101001010111111000000010000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010111110001010010101011110000001010000101100011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000101111100010100101010111100000010100001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001011111000101001010101111000000001000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010111110001010010101011110000000010000101100011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000101111100010100101010011100000010100001011000111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100001001000000000000000000000000000000000000000010000000000000001011111000101001010100111000000101000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000000000000000000000000000000000000100000000000000010111110001010010101001110000000010000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000100100000000000000000000000000000000000000001000000000000000101111100010100101010011100000000100001011000111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001011011000111001010110111000000101000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010110110001110010101101110000001010000101100011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000101101100011100101011011100000000100001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001011011000111001010110111000000001000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010110110001110010101110000010001010000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000101101100011100101011100000100010100001011000111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001011011000111001010111000001000001000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010110110001110010101110000010000010000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000101101100011100101010100000100011100001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001011011000111001010101000001000111000010110001110001010101100110000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010110110001110010101010000010000110000101100011100010101011001100000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000101101100011100101010100000100001100001011000111000101010110011000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001011011000111001010100000001000111000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000000010000000100000000000000000000000000000000100000000000000010110110001110010101000000010001110000101100011100010101011001000000000000000000000000000000000111000000000000010110010010001010101100111111110000111011111111111110000110000000100000001000000000000000000000000000000001000000000000000101101100011100101010000000100001100001011000111000101010110010000000000000000000000000000000001110000000000000101100100100010101011001111111100001110111111111111100001100000001000000010000000000000000000000000000000010000000000000001011011000111001010100000001000011000010110001110001010101100100000000000000000000000000000000011100000000000001011001001000101010110011111111000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010111000100110000000100000000001110000101100011100010101011000100000000000000000000000000000000110000000000000000110010010001010101100000000000000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000101110001001100000001000000000011100001011000111000101010110001000000000000000000000000000000001100000000000000001100100100010101011000000000000001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001011100010011000000010000000000011000010110001110001010101100010000000000000000000000000000000011000000000000000011001001000101010110000000000000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010111000100110000000100000000000110000101100011100010101011000100000000000000000000000000000000110000000000000000110010010001010101100000000000000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000101110001001100000001100000000011100001011000111000101010110000000000000000000000000000000000001000000000000000001100100100010101011000000000000001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001011100010011000000011000000000111000010110001110001010101100000000000000000000000000000000000010000000000000000011001001000101010110000000000000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010111000100110000000110000000000110000101100011100010101011000000000000000000000000000000000000100000000000000000110010010001010101100000000000000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000101110001001100000001100000000001100001011000111000101010110000000000000000000000000000000000001000000000000000001100100100010101011000000000000001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001011100010011000000001000000000100000010110001110001010101100010000000000000000000000000000000010000000000000000011001001000101010110000000000000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010111000100110000000010000000001000000101100011100010101011000100000000000000000000000000000000100000000000000000110010010001010101100000000000000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000101110001001100000000100000000000000001011000111000101010110001000000000000000000000000000000001000000000000000001100100100010101011000000000000001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001011100010011000000001000000000000000010110001110001010101100010000000000000000000000000000000010000000000000000011001001000101010110000000000000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010111000100110000000000000000001000000101100011100010101011000000000000000000000000000000000000100000000000000000110010010001010101100000000000000111011111111111110000110000100100000001000000000000000000000000000000001000000000000000101110001001100000000000000000010000001011000111000101010110000000000000000000000000000000000001000000000000000001100100100010101011000000000000001110111111111111100001100001001000000010000000000000000000000000000000010000000000000001011100010011000000000000000000000000010110001110001010101100000000000000000000000000000000000010000000000000000011001001000101010110000000000000011101111111111111000011000010010000000100000000000000000000000000000000100000000000000010111000100110000000000000000000000000101100011100010101011000000000000000000000000000000000000100000000000000000110010010001010101100000000000000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000101111100011100101011011111111010000001011000111000101010110011000000000000000000000000000000001010000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001011111000111001010110111111110100000010110001110001010101100110000000000000000000000000000000010100000000000001011001001000101010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010111110001110010101101111111100000000101100011100010101011001100000000000000000000000000000000101000000000000010110010010001010101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000101111100011100101011011111111000000001011000111000101010110011000000000000000000000000000000001010000000000000101100100100010101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001011111000111001010111000100110100000010110001100001110101100100000000000000000000000000000000001100000000000001011001000000111010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010111110001110010101110001001101000000101100011000011101011001000000000000000000000000000000000011000000000000010110010000001110101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000101111100011100101011100010011000000001011000110000111010110010000000000000000000000000000000000110000000000000101100100000011101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001011111000111001010111000100110000000010110001100001110101100100000000000000000000000000000000001100000000000001011001000000111010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010111110001110010101010001001101100000000100111000011101011001100000000000000000000000000000000011000000000000010110010000001110101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000101111100011100101010100010011011000000001001110000111010110011000000000000000000000000000000000110000000000000101100100000011101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001011111000111001010101000100110010000000010011100001110101100110000000000000000000000000000000001100000000000001011001000000111010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010111110001110010101010001001100100000000100111000011101011001100000000000000000000000000000000011000000000000010110010000001110101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000101111100011100101010000010011011000000001001110000111010110010000000000000000000000000000000000110000000000000101100100000011101011001111111100001110111111111111100001100000001000000000000000000100000000000000000000010000000000000001011111000111001010100000100110110000000010011100001110101100100000000000000000000000000000000001100000000000001011001000000111010110011111111000011101111111111111000011000000010000000000000000001000000000000000000000100000000000000010111110001110010101000001001100100000000100111000011101011001000000000000000000000000000000000011000000000000010110010000001110101100111111110000111011111111111110000110000000100000000000000000010000000000000000000001000000000000000101111100011100101010000010011001000000001001110000111010110010000000000000000000000000000000000110000000000000101100100000011101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001011111000110111110110000100110110000000010011100001110101100110000000000000000000000000000000001100000000000001011001000000111010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010111110001101111101100001001101100000000100111000011101011001100000000000000000000000000000000011000000000000010110010000001110101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000101111100011011111011000010011001000000001001110000111010110011000000000000000000000000000000000110000000000000101100100000011101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001011111000110111110110000100110010000000010011100001110101100110000000000000000000000000000000001100000000000001011001000000111010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010111110001101111101110000000001100000000100111000011101011001000000000000000000000000000000000111000000000000010110010000001110101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000101111100011011111011100000000011000000001001110000111010110010000000000000000000000000000000001110000000000000101100100000011101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001011111000110111110111000000000010000000010011100001110101100100000000000000000000000000000000011100000000000001011001000000111010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010111110001101111101110000000000100000000100111000011101011001000000000000000000000000000000000111000000000000010110010000001110101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000101111100011011111010100000000010000000001001110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001011111000110111110101000000000100000000010011100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010111110001101111101010000000000000000000100111000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000101111100011011111010100000000000000000001001110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001011111000110111110100000000000100000000010011100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000001000000000000000000000100000000000000010111110001101111101000000000001000000000100111000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000010000000000000000000001000000000000000101111100011011111010000000000000000000001001110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000100000000000000000000010000000000000001011111000110111110100000000000000000000010011100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000010110110001001010101100000000001000000000100111000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000101101100010010101011000000000010000000001001110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001011011000100101010110000000000000000000010011100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000010110110001001010101100000000000000000000100111000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000101101100010010101011100110010010000000001001110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001011011000100101010111001100100100000000010011100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000010110110001001010101110011001000000000000100111000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000101101100010010101011100110010000000000001001110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001011011000100101010101001100100110000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000010110110001001010101010011001001100000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000101101100010010101010100110010001000000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001011011000100101010101001100100010000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000010110110001001010101000011001001100000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000010000000000000000000001000000000000000101101100010010101010000110010011000000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000100000000000000000000010000000000000001011011000100101010100001100100010000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000001000000000000000000000100000000000000010110110001001010101000011001000100000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000101111100010010101011000110010011000000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001011111000100101010110001100100110000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000010111110001001010101100011001000100000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000101111100010010101011000110010001000000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001011111000100101010111110110010110000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000010111110001001010101111101100101100000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000101111100010010101011111011001001000000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001011111000100101010111110110010010000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000010111110001001010101011101100101010000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000101111100010010101010111011001010100000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001011111000100101010101110110010001000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000010111110001001010101011101100100010000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000101111100010010101010011011001010100000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000100000000000000000000010000000000000001011111000100101010100110110010101000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000001000000000000000000000100000000000000010111110001001010101001101100100010000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000010000000000000000000001000000000000000101111100010010101010011011001000100000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001011011000110101010110110110010101000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000010110110001101010101101101100101010000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000101101100011010101011011011001000100000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001011011000110101010110110110010001000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000010110110001101010101110111000101010000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000101101100011010101011101110001010100000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001011011000110101010111011100010001000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000010110110001101010101110111000100010000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000101101100011010101010101110001010000000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001011011000110101010101011100010100000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000010110110001101010101010111000100000000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000101101100011010101010101110001000000000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001011011000110101010100011100010100000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000000000000000000000000010000000000100000000000000010110110001101010101000111000101000000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000000000000000000000000000100000000001000000000000000101101100011010101010001110001000000000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000000000000000000000000001000000000010000000000000001011011000110101010100011100010000000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000010111110001101100101100111000101000000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000101111100011011001011001110001010000000011001010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001011111000110110010110011100010000000000110010100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000010111110001101100101100111000100000000001100101000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000101111100011011001011100011001010000000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001011111000110110010111000110010100000000110010100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000010111110001101100101110001100100000000001100101000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000101111100011011001011100011001000000000011001010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001011111000110110010101000110010110000000011001100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000010111110001101100101010001100101100000000110011000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000101111100011011001010100011001001000000001100110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001011111000110110010101000110010010000000011001100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000010111110001101100101000001100101100000000110011000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000000000000000000000000000100000000001000000000000000101111100011011001010000011001011000000001100110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000000000000000000000000001000000000010000000000000001011111000110110010100000110010010000000011001100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000000000000000000000000010000000000100000000000000010111110001101100101000001100100100000000110011000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000101111100011011111011000011001011000000001100110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001011111000110111110110000110010110000000011001100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000010111110001101111101100001100100100000000110011000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000101111100011011111011000011001001000000001100110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001011111000110111110111000000000110000000011001100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000010111110001101111101110000000001100000000110011000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000101111100011011111011100000000001000000001100110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001011111000110111110111000000000010000000011001100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000010111110001101111101010000000001000000000110011000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000101111100011011111010100000000010000000001100110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001011111000110111110101000000000000000000011001100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000010111110001101111101010000000000000000000110011000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000101111100011011111010000000000010000000001100110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100000001000000010000000000000000000001000000000010000000000000001011111000110111110100000000000100000000011001100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000000010000000100000000000000000000010000000000100000000000000010111110001101111101000000000000000000000110011000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000000100000001000000000000000000000100000000001000000000000000101111100011011111010000000000000000000001100110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001011011000101110010110000000000100000000011001100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000010110110001011100101100000000001000000000110011000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000101101100010111001011000000000000000000001100110000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001011011000101110010110000000000000000000011001100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000010110110001011100101111001010001000000000110011000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000101101100010111001011110010100010000000001100110000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001011011000101110010111100101000000000000011001100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000010110110001011100101111001010000000000000110011000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000101101100010111001010110010100011000001001010010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001011011000101110010101100101000110000010010100100001110101100110000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000010110110001011100101011001010000100000100101001000011101011001100000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000101101100010111001010110010100001000001001010010000111010110011000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001011011000101110010100100101000110000010010100100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011000010010000000100000000000000000000010000000000100000000000000010110110001011100101001001010001100000100101001000011101011001000000000000000000000000000000000111000000000000010010010000001110101100111111110000111011111111111110000110000100100000001000000000000000000000100000000001000000000000000101101100010111001010010010100001000001001010010000111010110010000000000000000000000000000000001110000000000000100100100000011101011001111111100001110111111111111100001100001001000000010000000000000000000001000000000010000000000000001011011000101110010100100101000010000010010100100001110101100100000000000000000000000000000000011100000000000001001001000000111010110011111111000011101111111111111000011</data>\r
1578           <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
1579         </log>\r
1580       </trigger>\r
1581     </signal_set>\r
1582     <position_info>\r
1583       <single attribute="active tab" value="0"/>\r
1584       <single attribute="data horizontal scroll position" value="1960"/>\r
1585       <single attribute="data vertical scroll position" value="0"/>\r
1586       <single attribute="setup horizontal scroll position" value="0"/>\r
1587       <single attribute="setup vertical scroll position" value="0"/>\r
1588       <single attribute="zoom level denominator" value="1"/>\r
1589       <single attribute="zoom level numerator" value="2"/>\r
1590       <single attribute="zoom offset denominator" value="1"/>\r
1591       <single attribute="zoom offset numerator" value="61440"/>\r
1592       <multi attribute="timebars" size="3" value="1024,1155,996"/>\r
1593     </position_info>\r
1594   </instance>\r
1595   <mnemonics/>\r
1596   <static_plugin_mnemonics/>\r
1597   <global_info>\r
1598     <single attribute="active instance" value="0"/>\r
1599     <single attribute="config widget visible" value="1"/>\r
1600     <single attribute="data log widget visible" value="0"/>\r
1601     <single attribute="hierarchy widget height" value="95"/>\r
1602     <single attribute="hierarchy widget visible" value="0"/>\r
1603     <single attribute="instance widget visible" value="1"/>\r
1604     <single attribute="jtag widget visible" value="1"/>\r
1605     <single attribute="lock mode" value="0"/>\r
1606     <single attribute="sof manager visible" value="1"/>\r
1607     <multi attribute="frame size" size="2" value="1920,1017"/>\r
1608     <multi attribute="jtag widget size" size="2" value="345,189"/>\r
1609   </global_info>\r
1610 </session>\r