OSDN Git Service

wront param name fixed.
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0_cv_nes.qsf
1 # -------------------------------------------------------------------------- #\r
2 #\r
3 # Copyright (C) 1991-2014 Altera Corporation. All rights reserved.\r
4 # Your use of Altera Corporation's design tools, logic functions \r
5 # and other software and tools, and its AMPP partner logic \r
6 # functions, and any output files from any of the foregoing \r
7 # (including device programming or simulation files), and any \r
8 # associated documentation or information are expressly subject \r
9 # to the terms and conditions of the Altera Program License \r
10 # Subscription Agreement, the Altera Quartus II License Agreement,\r
11 # the Altera MegaCore Function License Agreement, or other \r
12 # applicable license agreement, including, without limitation, \r
13 # that your use is for the sole purpose of programming logic \r
14 # devices manufactured by Altera and sold by Altera or its \r
15 # authorized distributors.  Please refer to the applicable \r
16 # agreement for further details.\r
17 #\r
18 # -------------------------------------------------------------------------- #\r
19 #\r
20 # Quartus II 64-Bit\r
21 # Version 14.0.0 Build 200 06/17/2014 SJ Web Edition\r
22 # Date created = 15:11:02  May 18, 2016\r
23 #\r
24 # -------------------------------------------------------------------------- #\r
25 #\r
26 # Notes:\r
27 #\r
28 # 1) The default values for assignments are stored in the file:\r
29 #               de0_cv_nes_assignment_defaults.qdf\r
30 #    If this file doesn't exist, see file:\r
31 #               assignment_defaults.qdf\r
32 #\r
33 # 2) Altera recommends that you do not modify this file. This\r
34 #    file is updated automatically by the Quartus II software\r
35 #    and any changes you make may be lost or overwritten.\r
36 #\r
37 # -------------------------------------------------------------------------- #\r
38 \r
39 \r
40 set_global_assignment -name FAMILY "Cyclone V"\r
41 set_global_assignment -name DEVICE 5CEBA4F23C7\r
42 set_global_assignment -name TOP_LEVEL_ENTITY de0_cv_nes\r
43 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.0\r
44 set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:11:02  MAY 18, 2016"\r
45 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"\r
46 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files\r
47 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0\r
48 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85\r
49 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA\r
50 set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484\r
51 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7\r
52 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256\r
53 set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"\r
54 set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation\r
55 set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"\r
56 set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"\r
57 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top\r
58 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top\r
59 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top\r
60 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"\r
61 \r
62 \r
63 #clock pin\r
64 set_location_assignment PIN_M9 -to pi_base_clk\r
65 \r
66 \r
67 #vga pin\r
68 set_location_assignment PIN_A9 -to po_r[0]\r
69 set_location_assignment PIN_B10 -to po_r[1]\r
70 set_location_assignment PIN_C9 -to po_r[2]\r
71 set_location_assignment PIN_A5 -to po_r[3]\r
72 set_location_assignment PIN_L7 -to po_g[0]\r
73 set_location_assignment PIN_K7 -to po_g[1]\r
74 set_location_assignment PIN_J7 -to po_g[2]\r
75 set_location_assignment PIN_J8 -to po_g[3]\r
76 set_location_assignment PIN_B6 -to po_b[0]\r
77 set_location_assignment PIN_B7 -to po_b[1]\r
78 set_location_assignment PIN_A8 -to po_b[2]\r
79 set_location_assignment PIN_A7 -to po_b[3]\r
80 set_location_assignment PIN_H8 -to po_h_sync_n\r
81 set_location_assignment PIN_G8 -to po_v_sync_n\r
82 \r
83 #button pin\r
84 set_location_assignment PIN_P22 -to pi_rst_n\r
85 \r
86 #nt_v_mirror\r
87 set_location_assignment PIN_AB12 -to pi_nt_v_mirror\r
88 \r
89 ##LED test\r
90 #set_location_assignment PIN_AA2 -to dbg_cpu_clk\r
91 #set_location_assignment PIN_AA1 -to dbg_ppu_clk\r
92 #set_location_assignment PIN_W2 -to dbg_mem_clk\r
93 \r
94 \r
95 #project files\r
96 \r
97 \r
98 set_global_assignment -name VHDL_FILE mem/chr_rom.vhd\r
99 set_global_assignment -name VHDL_FILE mem/ram.vhd\r
100 set_global_assignment -name VHDL_FILE chip_selector.vhd\r
101 set_global_assignment -name VHDL_FILE dummy-mos6502.vhd\r
102 set_global_assignment -name VHDL_FILE ppu/render.vhd\r
103 set_global_assignment -name VHDL_FILE ppu/ppu.vhd\r
104 \r
105 set_global_assignment -name VHDL_FILE de0_cv_nes.vhd\r
106 \r
107 \r
108 ##timing definition...\r
109 set_global_assignment -name SDC_FILE mos6502-timing.sdc\r
110 set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to base_clk\r
111 \r
112 ##for signal trap ii setting...\r
113 #set_global_assignment -name ENABLE_SIGNALTAP ON\r
114 #set_global_assignment -name USE_SIGNALTAP_FILE "de0-cv-analyze-all.stp"\r
115 #set_global_assignment -name SIGNALTAP_FILE "de0-cv-analyze-all.stp"\r
116 \r
117 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top