OSDN Git Service

de0-cv integration ok, bg displayed on the test image.
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0_cv_nes.qsf
1 # -------------------------------------------------------------------------- #\r
2 #\r
3 # Copyright (C) 1991-2014 Altera Corporation. All rights reserved.\r
4 # Your use of Altera Corporation's design tools, logic functions \r
5 # and other software and tools, and its AMPP partner logic \r
6 # functions, and any output files from any of the foregoing \r
7 # (including device programming or simulation files), and any \r
8 # associated documentation or information are expressly subject \r
9 # to the terms and conditions of the Altera Program License \r
10 # Subscription Agreement, the Altera Quartus II License Agreement,\r
11 # the Altera MegaCore Function License Agreement, or other \r
12 # applicable license agreement, including, without limitation, \r
13 # that your use is for the sole purpose of programming logic \r
14 # devices manufactured by Altera and sold by Altera or its \r
15 # authorized distributors.  Please refer to the applicable \r
16 # agreement for further details.\r
17 #\r
18 # -------------------------------------------------------------------------- #\r
19 #\r
20 # Quartus II 64-Bit\r
21 # Version 14.0.0 Build 200 06/17/2014 SJ Web Edition\r
22 # Date created = 15:11:02  May 18, 2016\r
23 #\r
24 # -------------------------------------------------------------------------- #\r
25 #\r
26 # Notes:\r
27 #\r
28 # 1) The default values for assignments are stored in the file:\r
29 #               de0_cv_nes_assignment_defaults.qdf\r
30 #    If this file doesn't exist, see file:\r
31 #               assignment_defaults.qdf\r
32 #\r
33 # 2) Altera recommends that you do not modify this file. This\r
34 #    file is updated automatically by the Quartus II software\r
35 #    and any changes you make may be lost or overwritten.\r
36 #\r
37 # -------------------------------------------------------------------------- #\r
38 \r
39 \r
40 set_global_assignment -name FAMILY "Cyclone V"\r
41 set_global_assignment -name DEVICE 5CEBA4F23C7\r
42 set_global_assignment -name TOP_LEVEL_ENTITY de0_cv_nes\r
43 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.0\r
44 set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:11:02  MAY 18, 2016"\r
45 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"\r
46 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files\r
47 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0\r
48 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85\r
49 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA\r
50 set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484\r
51 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7\r
52 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256\r
53 set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"\r
54 set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation\r
55 set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"\r
56 set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"\r
57 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top\r
58 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top\r
59 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top\r
60 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"\r
61 \r
62 \r
63 #clock pin\r
64 set_location_assignment PIN_M9 -to base_clk\r
65 \r
66 \r
67 #vga pin\r
68 set_location_assignment PIN_A9 -to r[0]\r
69 set_location_assignment PIN_B10 -to r[1]\r
70 set_location_assignment PIN_C9 -to r[2]\r
71 set_location_assignment PIN_A5 -to r[3]\r
72 set_location_assignment PIN_L7 -to g[0]\r
73 set_location_assignment PIN_K7 -to g[1]\r
74 set_location_assignment PIN_J7 -to g[2]\r
75 set_location_assignment PIN_J8 -to g[3]\r
76 set_location_assignment PIN_B6 -to b[0]\r
77 set_location_assignment PIN_B7 -to b[1]\r
78 set_location_assignment PIN_A8 -to b[2]\r
79 set_location_assignment PIN_A7 -to b[3]\r
80 set_location_assignment PIN_H8 -to h_sync_n\r
81 set_location_assignment PIN_G8 -to v_sync_n\r
82 \r
83 #button pin\r
84 set_location_assignment PIN_P22 -to rst_n\r
85 \r
86 #nt_v_mirror\r
87 set_location_assignment PIN_AB12 -to nt_v_mirror\r
88 \r
89 ##LED test\r
90 #set_location_assignment PIN_AA2 -to dbg_cpu_clk\r
91 #set_location_assignment PIN_AA1 -to dbg_ppu_clk\r
92 #set_location_assignment PIN_W2 -to dbg_mem_clk\r
93 \r
94 \r
95 #project files\r
96 set_global_assignment -name VHDL_FILE ../de1_nes/address_decoder.vhd\r
97 set_global_assignment -name VHDL_FILE ../de1_nes/motonesfpga_common.vhd\r
98 set_global_assignment -name VHDL_FILE ../de1_nes/clock/clock_divider.vhd\r
99 set_global_assignment -name VHDL_FILE ../de1_nes/mem/ram.vhd\r
100 set_global_assignment -name VHDL_FILE ../de1_nes/apu/apu.vhd\r
101 \r
102 #ppu block...\r
103 set_global_assignment -name VHDL_FILE ../de1_nes/mem/chr_rom.vhd\r
104 set_global_assignment -name VHDL_FILE ../de1_nes/ppu/ppu.vhd\r
105 set_global_assignment -name VHDL_FILE ../de1_nes/ppu/ppu_registers.vhd\r
106 set_global_assignment -name VHDL_FILE ../de1_nes/ppu/vga_ppu.vhd\r
107 \r
108 #cpu block...\r
109 set_global_assignment -name VHDL_FILE ../de1_nes/mem/prg_rom.vhd\r
110 set_global_assignment -name VHDL_FILE ../de1_nes/cpu/alu.vhd\r
111 set_global_assignment -name VHDL_FILE ../de1_nes/cpu/cpu_registers.vhd\r
112 set_global_assignment -name VHDL_FILE ../de1_nes/cpu/decoder.vhd\r
113 set_global_assignment -name VHDL_FILE ../de1_nes/cpu/mos6502.vhd\r
114 \r
115 set_global_assignment -name VHDL_FILE de0_cv_nes.vhd\r
116 \r
117 ##timing definition...\r
118 set_global_assignment -name SDC_FILE ../de1_nesmos6502-timing.sdc\r
119 \r
120 ##for signal trap ii setting...\r
121 set_global_assignment -name ENABLE_SIGNALTAP ON\r
122 set_global_assignment -name USE_SIGNALTAP_FILE "de0-cv-analyze-all.stp"\r
123 set_global_assignment -name SIGNALTAP_FILE "de0-cv-analyze-all.stp"\r
124 \r
125 ##reserved....\r
126 ###set_location_assignment PIN_AB15 -to \r
127 ###set_location_assignment PIN_AB17 -to \r
128 ###set_location_assignment PIN_AB18 -to \r
129 ###set_location_assignment PIN_AB21 -to \r
130 ###set_location_assignment PIN_AB22 -to \r
131 \r
132 \r
133 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to b[0] -section_id auto_signaltap_0\r
134 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to b[0] -section_id auto_signaltap_0\r
135 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to b[1] -section_id auto_signaltap_0\r
136 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to b[1] -section_id auto_signaltap_0\r
137 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to b[2] -section_id auto_signaltap_0\r
138 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to b[2] -section_id auto_signaltap_0\r
139 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to b[3] -section_id auto_signaltap_0\r
140 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to b[3] -section_id auto_signaltap_0\r
141 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to dbg_base_clk -section_id auto_signaltap_0\r
142 set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0\r
143 set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0\r
144 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0\r
145 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0\r
146 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0\r
147 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0\r
148 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0\r
149 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0\r
150 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0\r
151 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0\r
152 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0\r
153 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0\r
154 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0\r
155 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0\r
156 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0\r
157 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0\r
158 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0\r
159 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to dbg_addr[0] -section_id auto_signaltap_0\r
160 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to dbg_addr[10] -section_id auto_signaltap_0\r
161 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to dbg_addr[11] -section_id auto_signaltap_0\r
162 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to dbg_addr[12] -section_id auto_signaltap_0\r
163 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to dbg_addr[13] -section_id auto_signaltap_0\r
164 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to dbg_addr[14] -section_id auto_signaltap_0\r
165 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to dbg_addr[15] -section_id auto_signaltap_0\r
166 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to dbg_addr[1] -section_id auto_signaltap_0\r
167 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to dbg_addr[2] -section_id auto_signaltap_0\r
168 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to dbg_addr[3] -section_id auto_signaltap_0\r
169 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to dbg_addr[4] -section_id auto_signaltap_0\r
170 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to dbg_addr[5] -section_id auto_signaltap_0\r
171 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to dbg_addr[6] -section_id auto_signaltap_0\r
172 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to dbg_addr[7] -section_id auto_signaltap_0\r
173 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to dbg_addr[8] -section_id auto_signaltap_0\r
174 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to dbg_addr[9] -section_id auto_signaltap_0\r
175 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to dbg_cpu_clk -section_id auto_signaltap_0\r
176 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to dbg_addr[0] -section_id auto_signaltap_0\r
177 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to dbg_addr[10] -section_id auto_signaltap_0\r
178 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to dbg_addr[11] -section_id auto_signaltap_0\r
179 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to dbg_addr[12] -section_id auto_signaltap_0\r
180 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to dbg_addr[13] -section_id auto_signaltap_0\r
181 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to dbg_addr[14] -section_id auto_signaltap_0\r
182 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to dbg_addr[15] -section_id auto_signaltap_0\r
183 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to dbg_addr[1] -section_id auto_signaltap_0\r
184 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to dbg_addr[2] -section_id auto_signaltap_0\r
185 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to dbg_addr[3] -section_id auto_signaltap_0\r
186 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to dbg_addr[4] -section_id auto_signaltap_0\r
187 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to dbg_addr[5] -section_id auto_signaltap_0\r
188 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to dbg_addr[6] -section_id auto_signaltap_0\r
189 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to dbg_addr[7] -section_id auto_signaltap_0\r
190 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to dbg_addr[8] -section_id auto_signaltap_0\r
191 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to dbg_addr[9] -section_id auto_signaltap_0\r
192 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to dbg_cpu_clk -section_id auto_signaltap_0\r
193 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to clock_counter[0] -section_id auto_signaltap_0\r
194 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to clock_counter[10] -section_id auto_signaltap_0\r
195 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to clock_counter[11] -section_id auto_signaltap_0\r
196 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to clock_counter[12] -section_id auto_signaltap_0\r
197 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to clock_counter[13] -section_id auto_signaltap_0\r
198 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to clock_counter[14] -section_id auto_signaltap_0\r
199 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to clock_counter[15] -section_id auto_signaltap_0\r
200 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to clock_counter[16] -section_id auto_signaltap_0\r
201 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to clock_counter[17] -section_id auto_signaltap_0\r
202 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to clock_counter[18] -section_id auto_signaltap_0\r
203 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to clock_counter[19] -section_id auto_signaltap_0\r
204 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to clock_counter[1] -section_id auto_signaltap_0\r
205 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to clock_counter[20] -section_id auto_signaltap_0\r
206 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to clock_counter[21] -section_id auto_signaltap_0\r
207 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to clock_counter[22] -section_id auto_signaltap_0\r
208 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to clock_counter[23] -section_id auto_signaltap_0\r
209 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to clock_counter[24] -section_id auto_signaltap_0\r
210 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to clock_counter[25] -section_id auto_signaltap_0\r
211 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to clock_counter[26] -section_id auto_signaltap_0\r
212 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to clock_counter[27] -section_id auto_signaltap_0\r
213 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to clock_counter[28] -section_id auto_signaltap_0\r
214 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to clock_counter[29] -section_id auto_signaltap_0\r
215 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to clock_counter[2] -section_id auto_signaltap_0\r
216 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to clock_counter[30] -section_id auto_signaltap_0\r
217 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to clock_counter[31] -section_id auto_signaltap_0\r
218 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to clock_counter[32] -section_id auto_signaltap_0\r
219 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to clock_counter[33] -section_id auto_signaltap_0\r
220 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to clock_counter[34] -section_id auto_signaltap_0\r
221 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to clock_counter[35] -section_id auto_signaltap_0\r
222 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to clock_counter[36] -section_id auto_signaltap_0\r
223 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to clock_counter[37] -section_id auto_signaltap_0\r
224 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to clock_counter[38] -section_id auto_signaltap_0\r
225 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to clock_counter[39] -section_id auto_signaltap_0\r
226 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to clock_counter[3] -section_id auto_signaltap_0\r
227 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to clock_counter[40] -section_id auto_signaltap_0\r
228 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to clock_counter[41] -section_id auto_signaltap_0\r
229 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to clock_counter[42] -section_id auto_signaltap_0\r
230 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to clock_counter[43] -section_id auto_signaltap_0\r
231 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to clock_counter[44] -section_id auto_signaltap_0\r
232 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to clock_counter[45] -section_id auto_signaltap_0\r
233 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to clock_counter[46] -section_id auto_signaltap_0\r
234 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to clock_counter[47] -section_id auto_signaltap_0\r
235 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to clock_counter[48] -section_id auto_signaltap_0\r
236 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to clock_counter[49] -section_id auto_signaltap_0\r
237 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to clock_counter[4] -section_id auto_signaltap_0\r
238 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to clock_counter[50] -section_id auto_signaltap_0\r
239 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to clock_counter[51] -section_id auto_signaltap_0\r
240 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to clock_counter[52] -section_id auto_signaltap_0\r
241 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to clock_counter[53] -section_id auto_signaltap_0\r
242 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to clock_counter[54] -section_id auto_signaltap_0\r
243 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to clock_counter[55] -section_id auto_signaltap_0\r
244 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to clock_counter[56] -section_id auto_signaltap_0\r
245 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to clock_counter[57] -section_id auto_signaltap_0\r
246 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to clock_counter[58] -section_id auto_signaltap_0\r
247 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to clock_counter[59] -section_id auto_signaltap_0\r
248 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to clock_counter[5] -section_id auto_signaltap_0\r
249 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to clock_counter[60] -section_id auto_signaltap_0\r
250 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to clock_counter[61] -section_id auto_signaltap_0\r
251 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to clock_counter[62] -section_id auto_signaltap_0\r
252 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to clock_counter[63] -section_id auto_signaltap_0\r
253 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to clock_counter[6] -section_id auto_signaltap_0\r
254 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to clock_counter[7] -section_id auto_signaltap_0\r
255 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to clock_counter[8] -section_id auto_signaltap_0\r
256 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to clock_counter[9] -section_id auto_signaltap_0\r
257 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to dbg_cpu_mem_clk -section_id auto_signaltap_0\r
258 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to dbg_d_io[0] -section_id auto_signaltap_0\r
259 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to dbg_d_io[1] -section_id auto_signaltap_0\r
260 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to dbg_d_io[2] -section_id auto_signaltap_0\r
261 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to dbg_d_io[3] -section_id auto_signaltap_0\r
262 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to dbg_d_io[4] -section_id auto_signaltap_0\r
263 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to dbg_d_io[5] -section_id auto_signaltap_0\r
264 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to dbg_d_io[6] -section_id auto_signaltap_0\r
265 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to dbg_d_io[7] -section_id auto_signaltap_0\r
266 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to dbg_ea_carry -section_id auto_signaltap_0\r
267 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to dbg_emu_ppu_clk -section_id auto_signaltap_0\r
268 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to dbg_exec_cycle[0] -section_id auto_signaltap_0\r
269 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to dbg_exec_cycle[1] -section_id auto_signaltap_0\r
270 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to dbg_exec_cycle[2] -section_id auto_signaltap_0\r
271 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to dbg_exec_cycle[3] -section_id auto_signaltap_0\r
272 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to dbg_exec_cycle[4] -section_id auto_signaltap_0\r
273 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to dbg_exec_cycle[5] -section_id auto_signaltap_0\r
274 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to dbg_instruction[0] -section_id auto_signaltap_0\r
275 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to dbg_instruction[1] -section_id auto_signaltap_0\r
276 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to dbg_instruction[2] -section_id auto_signaltap_0\r
277 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to dbg_instruction[3] -section_id auto_signaltap_0\r
278 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to dbg_instruction[4] -section_id auto_signaltap_0\r
279 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to dbg_instruction[5] -section_id auto_signaltap_0\r
280 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to dbg_instruction[6] -section_id auto_signaltap_0\r
281 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to dbg_instruction[7] -section_id auto_signaltap_0\r
282 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to dbg_nmi -section_id auto_signaltap_0\r
283 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to dbg_ppu_addr[0] -section_id auto_signaltap_0\r
284 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to dbg_ppu_addr[10] -section_id auto_signaltap_0\r
285 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to dbg_ppu_addr[11] -section_id auto_signaltap_0\r
286 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to dbg_ppu_addr[12] -section_id auto_signaltap_0\r
287 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to dbg_ppu_addr[13] -section_id auto_signaltap_0\r
288 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to dbg_ppu_addr[1] -section_id auto_signaltap_0\r
289 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to dbg_ppu_addr[2] -section_id auto_signaltap_0\r
290 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to dbg_ppu_addr[3] -section_id auto_signaltap_0\r
291 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to dbg_ppu_addr[4] -section_id auto_signaltap_0\r
292 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to dbg_ppu_addr[5] -section_id auto_signaltap_0\r
293 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to dbg_ppu_addr[6] -section_id auto_signaltap_0\r
294 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to dbg_ppu_addr[7] -section_id auto_signaltap_0\r
295 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to dbg_ppu_addr[8] -section_id auto_signaltap_0\r
296 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to dbg_ppu_addr[9] -section_id auto_signaltap_0\r
297 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to dbg_ppu_ce_n -section_id auto_signaltap_0\r
298 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to dbg_ppu_clk -section_id auto_signaltap_0\r
299 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to dbg_ppu_ctrl[0] -section_id auto_signaltap_0\r
300 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to dbg_ppu_ctrl[1] -section_id auto_signaltap_0\r
301 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to dbg_ppu_ctrl[2] -section_id auto_signaltap_0\r
302 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to dbg_ppu_ctrl[3] -section_id auto_signaltap_0\r
303 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to dbg_ppu_ctrl[4] -section_id auto_signaltap_0\r
304 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to dbg_ppu_ctrl[5] -section_id auto_signaltap_0\r
305 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to dbg_ppu_ctrl[6] -section_id auto_signaltap_0\r
306 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to dbg_ppu_ctrl[7] -section_id auto_signaltap_0\r
307 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to dbg_ppu_data[0] -section_id auto_signaltap_0\r
308 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to dbg_ppu_data[1] -section_id auto_signaltap_0\r
309 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to dbg_ppu_data[2] -section_id auto_signaltap_0\r
310 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to dbg_ppu_data[3] -section_id auto_signaltap_0\r
311 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to dbg_ppu_data[4] -section_id auto_signaltap_0\r
312 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to dbg_ppu_data[5] -section_id auto_signaltap_0\r
313 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to dbg_ppu_data[6] -section_id auto_signaltap_0\r
314 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to dbg_ppu_data[7] -section_id auto_signaltap_0\r
315 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to dbg_ppu_mask[0] -section_id auto_signaltap_0\r
316 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to dbg_ppu_mask[1] -section_id auto_signaltap_0\r
317 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to dbg_ppu_mask[2] -section_id auto_signaltap_0\r
318 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to dbg_ppu_mask[3] -section_id auto_signaltap_0\r
319 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to dbg_ppu_mask[4] -section_id auto_signaltap_0\r
320 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to dbg_ppu_mask[5] -section_id auto_signaltap_0\r
321 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to dbg_ppu_mask[6] -section_id auto_signaltap_0\r
322 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to dbg_ppu_mask[7] -section_id auto_signaltap_0\r
323 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to dbg_ppu_scrl_x[0] -section_id auto_signaltap_0\r
324 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to dbg_ppu_scrl_x[1] -section_id auto_signaltap_0\r
325 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to dbg_ppu_scrl_x[2] -section_id auto_signaltap_0\r
326 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to dbg_ppu_scrl_x[3] -section_id auto_signaltap_0\r
327 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to dbg_ppu_scrl_x[4] -section_id auto_signaltap_0\r
328 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to dbg_ppu_scrl_x[5] -section_id auto_signaltap_0\r
329 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to dbg_ppu_scrl_x[6] -section_id auto_signaltap_0\r
330 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to dbg_ppu_scrl_x[7] -section_id auto_signaltap_0\r
331 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to dbg_ppu_scrl_y[0] -section_id auto_signaltap_0\r
332 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to dbg_ppu_scrl_y[1] -section_id auto_signaltap_0\r
333 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to dbg_ppu_scrl_y[2] -section_id auto_signaltap_0\r
334 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to dbg_ppu_scrl_y[3] -section_id auto_signaltap_0\r
335 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to dbg_ppu_scrl_y[4] -section_id auto_signaltap_0\r
336 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to dbg_ppu_scrl_y[5] -section_id auto_signaltap_0\r
337 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to dbg_ppu_scrl_y[6] -section_id auto_signaltap_0\r
338 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to dbg_ppu_scrl_y[7] -section_id auto_signaltap_0\r
339 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to dbg_ppu_status[0] -section_id auto_signaltap_0\r
340 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to dbg_ppu_status[1] -section_id auto_signaltap_0\r
341 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to dbg_ppu_status[2] -section_id auto_signaltap_0\r
342 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to dbg_ppu_status[3] -section_id auto_signaltap_0\r
343 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to dbg_ppu_status[4] -section_id auto_signaltap_0\r
344 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to dbg_ppu_status[5] -section_id auto_signaltap_0\r
345 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to dbg_ppu_status[6] -section_id auto_signaltap_0\r
346 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to dbg_ppu_status[7] -section_id auto_signaltap_0\r
347 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to dbg_r_nw -section_id auto_signaltap_0\r
348 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to dbg_status[0] -section_id auto_signaltap_0\r
349 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to dbg_status[1] -section_id auto_signaltap_0\r
350 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to dbg_status[2] -section_id auto_signaltap_0\r
351 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to dbg_status[3] -section_id auto_signaltap_0\r
352 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to dbg_status[4] -section_id auto_signaltap_0\r
353 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to dbg_status[5] -section_id auto_signaltap_0\r
354 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to dbg_status[6] -section_id auto_signaltap_0\r
355 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to dbg_status[7] -section_id auto_signaltap_0\r
356 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to dbg_v_addr[0] -section_id auto_signaltap_0\r
357 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to dbg_v_addr[10] -section_id auto_signaltap_0\r
358 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to dbg_v_addr[11] -section_id auto_signaltap_0\r
359 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to dbg_v_addr[12] -section_id auto_signaltap_0\r
360 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to dbg_v_addr[13] -section_id auto_signaltap_0\r
361 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to dbg_v_addr[1] -section_id auto_signaltap_0\r
362 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to dbg_v_addr[2] -section_id auto_signaltap_0\r
363 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to dbg_v_addr[3] -section_id auto_signaltap_0\r
364 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to dbg_v_addr[4] -section_id auto_signaltap_0\r
365 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to dbg_v_addr[5] -section_id auto_signaltap_0\r
366 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to dbg_v_addr[6] -section_id auto_signaltap_0\r
367 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to dbg_v_addr[7] -section_id auto_signaltap_0\r
368 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to dbg_v_addr[8] -section_id auto_signaltap_0\r
369 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to dbg_v_addr[9] -section_id auto_signaltap_0\r
370 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to dbg_v_data[0] -section_id auto_signaltap_0\r
371 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to dbg_v_data[1] -section_id auto_signaltap_0\r
372 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to dbg_v_data[2] -section_id auto_signaltap_0\r
373 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to dbg_v_data[3] -section_id auto_signaltap_0\r
374 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to dbg_v_data[4] -section_id auto_signaltap_0\r
375 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to dbg_v_data[5] -section_id auto_signaltap_0\r
376 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to dbg_v_data[6] -section_id auto_signaltap_0\r
377 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to dbg_v_data[7] -section_id auto_signaltap_0\r
378 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[206] -to g[0] -section_id auto_signaltap_0\r
379 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[207] -to g[1] -section_id auto_signaltap_0\r
380 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[208] -to g[2] -section_id auto_signaltap_0\r
381 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[209] -to g[3] -section_id auto_signaltap_0\r
382 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[210] -to h_sync_n -section_id auto_signaltap_0\r
383 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[211] -to joypad1[0] -section_id auto_signaltap_0\r
384 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[212] -to joypad1[1] -section_id auto_signaltap_0\r
385 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[213] -to joypad1[2] -section_id auto_signaltap_0\r
386 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[214] -to joypad1[3] -section_id auto_signaltap_0\r
387 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[215] -to joypad1[4] -section_id auto_signaltap_0\r
388 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[216] -to joypad1[5] -section_id auto_signaltap_0\r
389 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[217] -to joypad1[6] -section_id auto_signaltap_0\r
390 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[218] -to joypad1[7] -section_id auto_signaltap_0\r
391 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[219] -to joypad2[0] -section_id auto_signaltap_0\r
392 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[220] -to joypad2[1] -section_id auto_signaltap_0\r
393 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[221] -to joypad2[2] -section_id auto_signaltap_0\r
394 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[222] -to joypad2[3] -section_id auto_signaltap_0\r
395 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[223] -to joypad2[4] -section_id auto_signaltap_0\r
396 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[224] -to joypad2[5] -section_id auto_signaltap_0\r
397 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[225] -to joypad2[6] -section_id auto_signaltap_0\r
398 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[226] -to joypad2[7] -section_id auto_signaltap_0\r
399 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[227] -to r[0] -section_id auto_signaltap_0\r
400 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[228] -to r[1] -section_id auto_signaltap_0\r
401 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[229] -to r[2] -section_id auto_signaltap_0\r
402 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[230] -to r[3] -section_id auto_signaltap_0\r
403 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[231] -to rst_n -section_id auto_signaltap_0\r
404 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[232] -to v_sync_n -section_id auto_signaltap_0\r
405 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to clock_counter[0] -section_id auto_signaltap_0\r
406 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to clock_counter[10] -section_id auto_signaltap_0\r
407 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to clock_counter[11] -section_id auto_signaltap_0\r
408 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to clock_counter[12] -section_id auto_signaltap_0\r
409 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to clock_counter[13] -section_id auto_signaltap_0\r
410 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to clock_counter[14] -section_id auto_signaltap_0\r
411 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to clock_counter[15] -section_id auto_signaltap_0\r
412 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to clock_counter[16] -section_id auto_signaltap_0\r
413 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to clock_counter[17] -section_id auto_signaltap_0\r
414 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to clock_counter[18] -section_id auto_signaltap_0\r
415 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to clock_counter[19] -section_id auto_signaltap_0\r
416 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to clock_counter[1] -section_id auto_signaltap_0\r
417 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to clock_counter[20] -section_id auto_signaltap_0\r
418 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to clock_counter[21] -section_id auto_signaltap_0\r
419 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to clock_counter[22] -section_id auto_signaltap_0\r
420 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to clock_counter[23] -section_id auto_signaltap_0\r
421 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to clock_counter[24] -section_id auto_signaltap_0\r
422 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to clock_counter[25] -section_id auto_signaltap_0\r
423 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to clock_counter[26] -section_id auto_signaltap_0\r
424 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to clock_counter[27] -section_id auto_signaltap_0\r
425 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to clock_counter[28] -section_id auto_signaltap_0\r
426 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to clock_counter[29] -section_id auto_signaltap_0\r
427 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to clock_counter[2] -section_id auto_signaltap_0\r
428 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to clock_counter[30] -section_id auto_signaltap_0\r
429 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to clock_counter[31] -section_id auto_signaltap_0\r
430 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to clock_counter[32] -section_id auto_signaltap_0\r
431 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to clock_counter[33] -section_id auto_signaltap_0\r
432 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to clock_counter[34] -section_id auto_signaltap_0\r
433 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to clock_counter[35] -section_id auto_signaltap_0\r
434 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to clock_counter[36] -section_id auto_signaltap_0\r
435 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to clock_counter[37] -section_id auto_signaltap_0\r
436 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to clock_counter[38] -section_id auto_signaltap_0\r
437 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to clock_counter[39] -section_id auto_signaltap_0\r
438 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to clock_counter[3] -section_id auto_signaltap_0\r
439 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to clock_counter[40] -section_id auto_signaltap_0\r
440 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to clock_counter[41] -section_id auto_signaltap_0\r
441 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to clock_counter[42] -section_id auto_signaltap_0\r
442 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to clock_counter[43] -section_id auto_signaltap_0\r
443 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to clock_counter[44] -section_id auto_signaltap_0\r
444 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to clock_counter[45] -section_id auto_signaltap_0\r
445 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to clock_counter[46] -section_id auto_signaltap_0\r
446 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to clock_counter[47] -section_id auto_signaltap_0\r
447 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to clock_counter[48] -section_id auto_signaltap_0\r
448 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to clock_counter[49] -section_id auto_signaltap_0\r
449 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to clock_counter[4] -section_id auto_signaltap_0\r
450 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to clock_counter[50] -section_id auto_signaltap_0\r
451 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to clock_counter[51] -section_id auto_signaltap_0\r
452 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to clock_counter[52] -section_id auto_signaltap_0\r
453 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to clock_counter[53] -section_id auto_signaltap_0\r
454 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to clock_counter[54] -section_id auto_signaltap_0\r
455 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to clock_counter[55] -section_id auto_signaltap_0\r
456 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to clock_counter[56] -section_id auto_signaltap_0\r
457 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to clock_counter[57] -section_id auto_signaltap_0\r
458 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to clock_counter[58] -section_id auto_signaltap_0\r
459 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to clock_counter[59] -section_id auto_signaltap_0\r
460 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to clock_counter[5] -section_id auto_signaltap_0\r
461 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to clock_counter[60] -section_id auto_signaltap_0\r
462 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to clock_counter[61] -section_id auto_signaltap_0\r
463 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to clock_counter[62] -section_id auto_signaltap_0\r
464 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to clock_counter[63] -section_id auto_signaltap_0\r
465 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to clock_counter[6] -section_id auto_signaltap_0\r
466 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to clock_counter[7] -section_id auto_signaltap_0\r
467 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to clock_counter[8] -section_id auto_signaltap_0\r
468 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to clock_counter[9] -section_id auto_signaltap_0\r
469 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to dbg_cpu_mem_clk -section_id auto_signaltap_0\r
470 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to dbg_d_io[0] -section_id auto_signaltap_0\r
471 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to dbg_d_io[1] -section_id auto_signaltap_0\r
472 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to dbg_d_io[2] -section_id auto_signaltap_0\r
473 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to dbg_d_io[3] -section_id auto_signaltap_0\r
474 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to dbg_d_io[4] -section_id auto_signaltap_0\r
475 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to dbg_d_io[5] -section_id auto_signaltap_0\r
476 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to dbg_d_io[6] -section_id auto_signaltap_0\r
477 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to dbg_d_io[7] -section_id auto_signaltap_0\r
478 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to dbg_ea_carry -section_id auto_signaltap_0\r
479 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to dbg_emu_ppu_clk -section_id auto_signaltap_0\r
480 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to dbg_exec_cycle[0] -section_id auto_signaltap_0\r
481 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to dbg_exec_cycle[1] -section_id auto_signaltap_0\r
482 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to dbg_exec_cycle[2] -section_id auto_signaltap_0\r
483 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to dbg_exec_cycle[3] -section_id auto_signaltap_0\r
484 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to dbg_exec_cycle[4] -section_id auto_signaltap_0\r
485 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to dbg_exec_cycle[5] -section_id auto_signaltap_0\r
486 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to dbg_instruction[0] -section_id auto_signaltap_0\r
487 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to dbg_instruction[1] -section_id auto_signaltap_0\r
488 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to dbg_instruction[2] -section_id auto_signaltap_0\r
489 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to dbg_instruction[3] -section_id auto_signaltap_0\r
490 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to dbg_instruction[4] -section_id auto_signaltap_0\r
491 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to dbg_instruction[5] -section_id auto_signaltap_0\r
492 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to dbg_instruction[6] -section_id auto_signaltap_0\r
493 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to dbg_instruction[7] -section_id auto_signaltap_0\r
494 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to dbg_nmi -section_id auto_signaltap_0\r
495 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to dbg_ppu_addr[0] -section_id auto_signaltap_0\r
496 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to dbg_ppu_addr[10] -section_id auto_signaltap_0\r
497 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to dbg_ppu_addr[11] -section_id auto_signaltap_0\r
498 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to dbg_ppu_addr[12] -section_id auto_signaltap_0\r
499 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to dbg_ppu_addr[13] -section_id auto_signaltap_0\r
500 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to dbg_ppu_addr[1] -section_id auto_signaltap_0\r
501 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to dbg_ppu_addr[2] -section_id auto_signaltap_0\r
502 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to dbg_ppu_addr[3] -section_id auto_signaltap_0\r
503 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to dbg_ppu_addr[4] -section_id auto_signaltap_0\r
504 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to dbg_ppu_addr[5] -section_id auto_signaltap_0\r
505 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to dbg_ppu_addr[6] -section_id auto_signaltap_0\r
506 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to dbg_ppu_addr[7] -section_id auto_signaltap_0\r
507 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to dbg_ppu_addr[8] -section_id auto_signaltap_0\r
508 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to dbg_ppu_addr[9] -section_id auto_signaltap_0\r
509 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to dbg_ppu_ce_n -section_id auto_signaltap_0\r
510 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to dbg_ppu_clk -section_id auto_signaltap_0\r
511 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to dbg_ppu_ctrl[0] -section_id auto_signaltap_0\r
512 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to dbg_ppu_ctrl[1] -section_id auto_signaltap_0\r
513 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to dbg_ppu_ctrl[2] -section_id auto_signaltap_0\r
514 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to dbg_ppu_ctrl[3] -section_id auto_signaltap_0\r
515 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to dbg_ppu_ctrl[4] -section_id auto_signaltap_0\r
516 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to dbg_ppu_ctrl[5] -section_id auto_signaltap_0\r
517 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to dbg_ppu_ctrl[6] -section_id auto_signaltap_0\r
518 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to dbg_ppu_ctrl[7] -section_id auto_signaltap_0\r
519 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to dbg_ppu_data[0] -section_id auto_signaltap_0\r
520 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to dbg_ppu_data[1] -section_id auto_signaltap_0\r
521 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to dbg_ppu_data[2] -section_id auto_signaltap_0\r
522 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to dbg_ppu_data[3] -section_id auto_signaltap_0\r
523 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to dbg_ppu_data[4] -section_id auto_signaltap_0\r
524 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to dbg_ppu_data[5] -section_id auto_signaltap_0\r
525 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to dbg_ppu_data[6] -section_id auto_signaltap_0\r
526 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to dbg_ppu_data[7] -section_id auto_signaltap_0\r
527 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to dbg_ppu_mask[0] -section_id auto_signaltap_0\r
528 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to dbg_ppu_mask[1] -section_id auto_signaltap_0\r
529 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to dbg_ppu_mask[2] -section_id auto_signaltap_0\r
530 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to dbg_ppu_mask[3] -section_id auto_signaltap_0\r
531 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to dbg_ppu_mask[4] -section_id auto_signaltap_0\r
532 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to dbg_ppu_mask[5] -section_id auto_signaltap_0\r
533 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to dbg_ppu_mask[6] -section_id auto_signaltap_0\r
534 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to dbg_ppu_mask[7] -section_id auto_signaltap_0\r
535 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to dbg_ppu_scrl_x[0] -section_id auto_signaltap_0\r
536 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to dbg_ppu_scrl_x[1] -section_id auto_signaltap_0\r
537 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to dbg_ppu_scrl_x[2] -section_id auto_signaltap_0\r
538 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to dbg_ppu_scrl_x[3] -section_id auto_signaltap_0\r
539 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to dbg_ppu_scrl_x[4] -section_id auto_signaltap_0\r
540 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to dbg_ppu_scrl_x[5] -section_id auto_signaltap_0\r
541 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to dbg_ppu_scrl_x[6] -section_id auto_signaltap_0\r
542 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to dbg_ppu_scrl_x[7] -section_id auto_signaltap_0\r
543 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to dbg_ppu_scrl_y[0] -section_id auto_signaltap_0\r
544 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to dbg_ppu_scrl_y[1] -section_id auto_signaltap_0\r
545 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to dbg_ppu_scrl_y[2] -section_id auto_signaltap_0\r
546 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to dbg_ppu_scrl_y[3] -section_id auto_signaltap_0\r
547 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to dbg_ppu_scrl_y[4] -section_id auto_signaltap_0\r
548 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to dbg_ppu_scrl_y[5] -section_id auto_signaltap_0\r
549 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to dbg_ppu_scrl_y[6] -section_id auto_signaltap_0\r
550 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to dbg_ppu_scrl_y[7] -section_id auto_signaltap_0\r
551 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to dbg_ppu_status[0] -section_id auto_signaltap_0\r
552 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to dbg_ppu_status[1] -section_id auto_signaltap_0\r
553 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to dbg_ppu_status[2] -section_id auto_signaltap_0\r
554 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to dbg_ppu_status[3] -section_id auto_signaltap_0\r
555 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to dbg_ppu_status[4] -section_id auto_signaltap_0\r
556 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to dbg_ppu_status[5] -section_id auto_signaltap_0\r
557 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to dbg_ppu_status[6] -section_id auto_signaltap_0\r
558 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to dbg_ppu_status[7] -section_id auto_signaltap_0\r
559 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to dbg_r_nw -section_id auto_signaltap_0\r
560 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to dbg_status[0] -section_id auto_signaltap_0\r
561 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to dbg_status[1] -section_id auto_signaltap_0\r
562 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to dbg_status[2] -section_id auto_signaltap_0\r
563 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to dbg_status[3] -section_id auto_signaltap_0\r
564 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to dbg_status[4] -section_id auto_signaltap_0\r
565 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to dbg_status[5] -section_id auto_signaltap_0\r
566 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to dbg_status[6] -section_id auto_signaltap_0\r
567 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to dbg_status[7] -section_id auto_signaltap_0\r
568 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to dbg_v_addr[0] -section_id auto_signaltap_0\r
569 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to dbg_v_addr[10] -section_id auto_signaltap_0\r
570 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to dbg_v_addr[11] -section_id auto_signaltap_0\r
571 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to dbg_v_addr[12] -section_id auto_signaltap_0\r
572 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to dbg_v_addr[13] -section_id auto_signaltap_0\r
573 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to dbg_v_addr[1] -section_id auto_signaltap_0\r
574 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to dbg_v_addr[2] -section_id auto_signaltap_0\r
575 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to dbg_v_addr[3] -section_id auto_signaltap_0\r
576 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to dbg_v_addr[4] -section_id auto_signaltap_0\r
577 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to dbg_v_addr[5] -section_id auto_signaltap_0\r
578 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to dbg_v_addr[6] -section_id auto_signaltap_0\r
579 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to dbg_v_addr[7] -section_id auto_signaltap_0\r
580 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to dbg_v_addr[8] -section_id auto_signaltap_0\r
581 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to dbg_v_addr[9] -section_id auto_signaltap_0\r
582 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to dbg_v_data[0] -section_id auto_signaltap_0\r
583 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to dbg_v_data[1] -section_id auto_signaltap_0\r
584 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to dbg_v_data[2] -section_id auto_signaltap_0\r
585 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to dbg_v_data[3] -section_id auto_signaltap_0\r
586 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to dbg_v_data[4] -section_id auto_signaltap_0\r
587 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to dbg_v_data[5] -section_id auto_signaltap_0\r
588 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to dbg_v_data[6] -section_id auto_signaltap_0\r
589 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to dbg_v_data[7] -section_id auto_signaltap_0\r
590 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to g[0] -section_id auto_signaltap_0\r
591 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to g[1] -section_id auto_signaltap_0\r
592 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to g[2] -section_id auto_signaltap_0\r
593 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to g[3] -section_id auto_signaltap_0\r
594 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to h_sync_n -section_id auto_signaltap_0\r
595 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to joypad1[0] -section_id auto_signaltap_0\r
596 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to joypad1[1] -section_id auto_signaltap_0\r
597 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to joypad1[2] -section_id auto_signaltap_0\r
598 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to joypad1[3] -section_id auto_signaltap_0\r
599 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to joypad1[4] -section_id auto_signaltap_0\r
600 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[216] -to joypad1[5] -section_id auto_signaltap_0\r
601 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[217] -to joypad1[6] -section_id auto_signaltap_0\r
602 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[218] -to joypad1[7] -section_id auto_signaltap_0\r
603 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[219] -to joypad2[0] -section_id auto_signaltap_0\r
604 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[220] -to joypad2[1] -section_id auto_signaltap_0\r
605 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[221] -to joypad2[2] -section_id auto_signaltap_0\r
606 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[222] -to joypad2[3] -section_id auto_signaltap_0\r
607 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[223] -to joypad2[4] -section_id auto_signaltap_0\r
608 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[224] -to joypad2[5] -section_id auto_signaltap_0\r
609 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[225] -to joypad2[6] -section_id auto_signaltap_0\r
610 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[226] -to joypad2[7] -section_id auto_signaltap_0\r
611 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[227] -to r[0] -section_id auto_signaltap_0\r
612 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[228] -to r[1] -section_id auto_signaltap_0\r
613 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[229] -to r[2] -section_id auto_signaltap_0\r
614 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[230] -to r[3] -section_id auto_signaltap_0\r
615 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[231] -to rst_n -section_id auto_signaltap_0\r
616 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[232] -to v_sync_n -section_id auto_signaltap_0\r
617 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=233" -section_id auto_signaltap_0\r
618 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=233" -section_id auto_signaltap_0\r
619 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
620 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=726" -section_id auto_signaltap_0\r
621 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=20297" -section_id auto_signaltap_0\r
622 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=7548" -section_id auto_signaltap_0\r
623 set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-analyze-all_auto_stripped.stp"\r
624 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top