1 ----------------------------
2 ---- 6502 ALU implementation
3 ----------------------------
5 use ieee.std_logic_1164.all;
6 use ieee.std_logic_unsigned.all;
7 use ieee.std_logic_arith.conv_std_logic_vector;
8 use work.motonesfpga_common.all;
11 generic ( dsize : integer := 8
13 port ( clk : in std_logic;
14 pcl_inc_n : in std_logic;
15 pch_inc_n : in std_logic;
16 sp_oe_n : in std_logic;
17 sp_push_n : in std_logic;
18 sp_pop_n : in std_logic;
19 abs_xy_n : in std_logic;
20 pg_next_n : in std_logic;
22 zp_xy_n : in std_logic;
23 rel_calc_n : in std_logic;
24 indir_n : in std_logic;
25 indir_x_n : in std_logic;
26 indir_y_n : in std_logic;
27 arith_en_n : in std_logic;
28 instruction : in std_logic_vector (dsize - 1 downto 0);
29 exec_cycle : in std_logic_vector (5 downto 0);
30 int_d_bus : inout std_logic_vector (dsize - 1 downto 0);
31 acc_out : in std_logic_vector (dsize - 1 downto 0);
32 index_bus : in std_logic_vector (dsize - 1 downto 0);
33 bal : in std_logic_vector (dsize - 1 downto 0);
34 bah : in std_logic_vector (dsize - 1 downto 0);
35 addr_back : out std_logic_vector (dsize - 1 downto 0);
36 acc_in : out std_logic_vector (dsize - 1 downto 0);
37 abl : out std_logic_vector (dsize - 1 downto 0);
38 abh : out std_logic_vector (dsize - 1 downto 0);
39 pcl_inc_carry : out std_logic;
40 ea_carry : out std_logic;
41 carry_in : in std_logic;
42 negative : out std_logic;
44 carry_out : out std_logic;
45 overflow : out std_logic
49 architecture rtl of alu is
60 d : in std_logic_vector (dsize - 1 downto 0);
61 q : out std_logic_vector (dsize - 1 downto 0)
65 component d_flip_flop_bit
76 component tri_state_buffer
82 d : in std_logic_vector (dsize - 1 downto 0);
83 q : out std_logic_vector (dsize - 1 downto 0)
87 component address_calculator
88 generic ( dsize : integer := 8
91 sel : in std_logic_vector (1 downto 0);
92 addr1 : in std_logic_vector (dsize - 1 downto 0);
93 addr2 : in std_logic_vector (dsize - 1 downto 0);
94 addr_out : out std_logic_vector (dsize - 1 downto 0);
95 carry_in : in std_logic;
96 carry_out : out std_logic
101 generic ( dsize : integer := 8
104 sel : in std_logic_vector (3 downto 0);
105 d1 : in std_logic_vector (dsize - 1 downto 0);
106 d2 : in std_logic_vector (dsize - 1 downto 0);
107 d_out : out std_logic_vector (dsize - 1 downto 0);
108 carry_in : in std_logic;
109 negative : out std_logic;
110 zero : out std_logic;
111 carry_out : out std_logic;
112 overflow : out std_logic
116 --------- signals for address calucuration ----------
117 signal al_buf_we_n : std_logic;
118 signal ah_buf_we_n : std_logic;
119 signal tmp_buf_we_n : std_logic;
121 signal al_reg_in : std_logic_vector (dsize - 1 downto 0);
122 signal ah_reg_in : std_logic_vector (dsize - 1 downto 0);
123 signal tmp_reg_in : std_logic_vector (dsize - 1 downto 0);
124 signal al_reg : std_logic_vector (dsize - 1 downto 0);
125 signal ah_reg : std_logic_vector (dsize - 1 downto 0);
126 signal tmp_reg : std_logic_vector (dsize - 1 downto 0);
129 signal a_sel : std_logic_vector (1 downto 0);
130 signal addr1 : std_logic_vector (dsize - 1 downto 0);
131 signal addr2 : std_logic_vector (dsize - 1 downto 0);
132 signal addr_out : std_logic_vector (dsize - 1 downto 0);
134 signal addr_c_in : std_logic;
135 signal addr_c : std_logic;
136 signal addr_c_reg : std_logic;
138 signal pcl_carry_reg_in : std_logic;
140 ----------- signals for arithmatic ----------
141 signal sel : std_logic_vector (3 downto 0);
142 signal d1 : std_logic_vector (dsize - 1 downto 0);
143 signal d2 : std_logic_vector (dsize - 1 downto 0);
144 signal d_out : std_logic_vector (dsize - 1 downto 0);
145 signal alu_out : std_logic_vector (dsize - 1 downto 0);
147 signal n : std_logic;
148 signal z : std_logic;
149 signal c : std_logic;
150 signal v : std_logic;
152 signal arith_buf_we_n : std_logic;
153 signal arith_buf_oe_n : std_logic;
154 signal arith_reg_in : std_logic_vector (dsize - 1 downto 0);
155 signal arith_reg : std_logic_vector (dsize - 1 downto 0);
156 signal arith_reg_out : std_logic_vector (dsize - 1 downto 0);
157 signal d_oe_n : std_logic;
160 ----------------------------------------
161 -- address calucurator instances ----
162 ----------------------------------------
163 al_dff : d_flip_flop generic map (dsize)
164 port map(clk, '1', '1', al_buf_we_n, al_reg_in, al_reg);
165 ah_dff : d_flip_flop generic map (dsize)
166 port map(clk, '1', '1', ah_buf_we_n, ah_reg_in, ah_reg);
167 tmp_dff : d_flip_flop generic map (dsize)
168 port map(clk, '1', '1', tmp_buf_we_n, tmp_reg_in, tmp_reg);
170 --pcl carry flag set.
171 pcl_carry_reg_in <= addr_c when pcl_inc_n = '0' else
174 pch_carry_dff_bit : d_flip_flop_bit
175 port map(clk, '1', '1',
176 '0', pcl_carry_reg_in, pcl_inc_carry);
178 addr_calc_inst : address_calculator generic map (dsize)
179 port map (a_sel, addr1, addr2, addr_out, addr_c_in, addr_c);
181 ea_carry_dff_bit : d_flip_flop_bit
182 port map(clk, '1', '1',
183 '0', addr_c, addr_c_reg);
185 ----------------------------------------
186 -- arithmatic operation instances ----
187 ----------------------------------------
188 arith_dff : d_flip_flop generic map (dsize)
189 port map(clk, '1', '1', arith_buf_we_n, arith_reg_in, arith_reg);
190 arith_buf : tri_state_buffer generic map (dsize)
191 port map (arith_buf_oe_n, arith_reg, arith_reg_out);
193 alu_inst : alu_core generic map (dsize)
194 port map (sel, d1, d2, alu_out, carry_in, n, z, c, v);
195 alu_buf : tri_state_buffer generic map (dsize)
196 port map (d_oe_n, alu_out, d_out);
198 -------------------------------
199 ------ alu main process -------
200 -------------------------------
203 ---for address calucuration
204 pcl_inc_n, pch_inc_n, sp_oe_n, sp_push_n, sp_pop_n,
205 abs_xy_n, pg_next_n, zp_n, zp_xy_n, rel_calc_n,
206 indir_n, indir_x_n, indir_y_n,
209 --for arithmatic operation.
211 instruction, exec_cycle, int_d_bus, acc_out,
215 constant ADDR_ADC : std_logic_vector (1 downto 0) := "00";
216 constant ADDR_INC : std_logic_vector (1 downto 0) := "01";
217 constant ADDR_DEC : std_logic_vector (1 downto 0) := "10";
218 constant ADDR_SIGNED_ADD : std_logic_vector (1 downto 0) := "11";
220 constant ALU_AND : std_logic_vector (3 downto 0) := "0000";
221 constant ALU_EOR : std_logic_vector (3 downto 0) := "0001";
222 constant ALU_OR : std_logic_vector (3 downto 0) := "0010";
223 constant ALU_BIT : std_logic_vector (3 downto 0) := "0011";
224 constant ALU_ADC : std_logic_vector (3 downto 0) := "0100";
225 constant ALU_SBC : std_logic_vector (3 downto 0) := "0101";
226 constant ALU_CMP : std_logic_vector (3 downto 0) := "0110";
227 constant ALU_ASL : std_logic_vector (3 downto 0) := "0111";
228 constant ALU_LSR : std_logic_vector (3 downto 0) := "1000";
229 constant ALU_ROL : std_logic_vector (3 downto 0) := "1001";
230 constant ALU_ROR : std_logic_vector (3 downto 0) := "1010";
231 constant ALU_INC : std_logic_vector (3 downto 0) := "1011";
232 constant ALU_DEC : std_logic_vector (3 downto 0) := "1100";
234 ---for indirect addressing.
235 constant T0 : std_logic_vector (5 downto 0) := "000000";
236 constant T1 : std_logic_vector (5 downto 0) := "000001";
237 constant T2 : std_logic_vector (5 downto 0) := "000010";
238 constant T3 : std_logic_vector (5 downto 0) := "000011";
239 constant T4 : std_logic_vector (5 downto 0) := "000100";
240 constant T5 : std_logic_vector (5 downto 0) := "000101";
242 procedure output_d_bus is
244 arith_buf_we_n <= '0';
245 arith_buf_oe_n <= '0';
247 arith_reg_in <= d_out;
251 int_d_bus <= arith_reg_out;
263 -------------------------------
264 ----- address calcuration -----
265 -------------------------------
266 if (pcl_inc_n = '0') then
270 addr_back <= addr_out;
272 --keep the value in the cycle
275 if (instruction = "01001100") then
276 ---exceptional case: only jmp instruction
287 elsif (pch_inc_n = '0') then
291 addr_back <= addr_out;
293 --inc pch cycle is not fetch cycle.
294 --it is special cycle.
298 elsif (sp_oe_n = '0') then
303 if (sp_push_n /= '0' and sp_pop_n /= '0') then
305 elsif (sp_pop_n = '0') then
309 addr_back <= addr_out;
322 addr_back <= addr_out;
332 elsif (zp_n = '0') then
334 if (zp_xy_n <= '0') then
347 elsif (abs_xy_n = '0') then
348 if (pg_next_n = '0') then
355 ---al is in the al_reg.
364 ---keep al for page crossed case
366 al_reg_in <= addr_out;
371 elsif (rel_calc_n = '0') then
372 if (pg_next_n = '0') then
373 if (int_d_bus(7) = '1') then
374 ---backward relative branch
377 ---forward relative branch
382 ---rel val is on the d_bus.
383 addr_back <= addr_out;
386 --keep the value in the cycle
388 ah_reg_in <= addr_out;
393 a_sel <= ADDR_SIGNED_ADD;
396 ---rel val is on the d_bus.
398 addr_back <= addr_out;
400 ea_carry <= addr_c_reg;
402 --keep the value in the cycle
404 al_reg_in <= addr_out;
408 elsif (indir_n = '0') then
417 elsif (indir_x_n = '0') then
418 if (exec_cycle = T2) then
419 ---input is IAL, but this cycle doesn't do anything....
426 elsif (exec_cycle = T3) then
436 tmp_reg_in <= addr_out;
444 al_reg_in <= int_d_bus;
446 elsif (exec_cycle = T4) then
460 ah_reg_in <= int_d_bus;
461 elsif (exec_cycle = T5) then
467 end if; -- if (exec_cycle = T2) then
469 elsif (indir_y_n = '0') then
471 if (exec_cycle = T2) then
478 al_reg_in <= int_d_bus;
481 --get next address (IAL + 1)
485 tmp_reg_in <= addr_out;
487 elsif (exec_cycle = T3) then
498 ah_reg_in <= int_d_bus;
501 elsif (exec_cycle = T4) then
517 al_reg_in <= addr_out;
519 tmp_reg_in <= ah_reg;
520 elsif (exec_cycle = T5) then
534 end if; -- if (exec_cycle = T2) then
544 ----addr_back is always bal for jmp/jsr instruction....
545 -----TODO must check later if it's ok.
547 end if; --if (pcl_inc_n = '0') then
549 -------------------------------
550 ---- arithmatic operations-----
551 -------------------------------
552 if (arith_en_n = '0') then
554 if instruction = conv_std_logic_vector(16#ca#, dsize) then
561 elsif instruction = conv_std_logic_vector(16#88#, dsize) then
568 elsif instruction = conv_std_logic_vector(16#e8#, dsize) then
575 elsif instruction = conv_std_logic_vector(16#c8#, dsize) then
582 elsif instruction = conv_std_logic_vector(16#0a#, dsize) then
590 elsif instruction = conv_std_logic_vector(16#2a#, dsize) then
598 elsif instruction = conv_std_logic_vector(16#4a#, dsize) then
606 elsif instruction = conv_std_logic_vector(16#6a#, dsize) then
614 --instruction is aaabbbcc format.
624 elsif instruction (1 downto 0) = "01" then
625 if instruction (7 downto 5) = "000" then
634 elsif instruction (7 downto 5) = "001" then
643 elsif instruction (7 downto 5) = "010" then
652 elsif instruction (7 downto 5) = "011" then
664 elsif instruction (7 downto 5) = "110" then
673 elsif instruction (7 downto 5) = "111" then
685 end if; --if instruction (7 downto 5) = "000" then
687 elsif instruction (1 downto 0) = "10" then
689 --this group is all memory to memory instruction (except for stx/ldx).
690 --memory to memory operation takes two cycles.
691 --first is write original data
692 --second is write modified data
698 if ((exec_cycle = T2 and instruction (4 downto 2) = "001") or
699 (exec_cycle = T3 and instruction (4 downto 2) = "011") or
700 (exec_cycle = T3 and instruction (4 downto 2) = "101") or
701 (exec_cycle = T4 and instruction (4 downto 2) = "111")) then
702 arith_buf_we_n <= '0';
703 arith_reg_in <= int_d_bus;
705 elsif ((exec_cycle = T3 and instruction (4 downto 2) = "001") or
706 (exec_cycle = T4 and instruction (4 downto 2) = "011") or
707 (exec_cycle = T4 and instruction (4 downto 2) = "101") or
708 (exec_cycle = T5 and instruction (4 downto 2) = "111")) then
709 --first cycle. keep input variable.
710 --d_print("inc first.");
711 arith_buf_we_n <= '1';
713 arith_buf_oe_n <= '1';
718 --second cycle read from register, output modified data.
719 --d_print("inc second...");
720 arith_buf_we_n <= '1';
721 arith_buf_oe_n <= '0';
727 if instruction (7 downto 5) = "000" then
733 elsif instruction (7 downto 5) = "001" then
739 elsif instruction (7 downto 5) = "010" then
745 elsif instruction (7 downto 5) = "011" then
751 elsif instruction (7 downto 5) = "110" then
756 elsif instruction (7 downto 5) = "111" then
757 --d_print("alu inc");
761 end if; --if instruction (7 downto 5) = "000" then
763 elsif instruction (1 downto 0) = "00" then
764 if instruction (7 downto 5) = "001" then
771 elsif instruction (7 downto 5) = "110" then
779 elsif instruction (7 downto 5) = "111" then
787 end if; --if instruction (7 downto 5) = "001" then
788 end if; --if instruction = conv_std_logic_vector(16#ca#, dsize)
790 --d_print("no arith");
792 arith_buf_we_n <= '1';
793 arith_buf_oe_n <= '1';
794 int_d_bus <= (others => 'Z');
795 end if; -- if (arith_en_n = '0') then
801 -----------------------------------------
802 ---------- Address calculator------------
803 -----------------------------------------
806 use ieee.std_logic_1164.all;
807 use ieee.std_logic_unsigned.all;
809 entity address_calculator is
810 generic ( dsize : integer := 8
813 sel : in std_logic_vector (1 downto 0);
814 addr1 : in std_logic_vector (dsize - 1 downto 0);
815 addr2 : in std_logic_vector (dsize - 1 downto 0);
816 addr_out : out std_logic_vector (dsize - 1 downto 0);
817 carry_in : in std_logic;
818 carry_out : out std_logic
820 end address_calculator;
822 architecture rtl of address_calculator is
824 constant ADDR_ADC : std_logic_vector (1 downto 0) := "00";
825 constant ADDR_INC : std_logic_vector (1 downto 0) := "01";
826 constant ADDR_DEC : std_logic_vector (1 downto 0) := "10";
827 constant ADDR_SIGNED_ADD : std_logic_vector (1 downto 0) := "11";
831 alu_p : process (sel, addr1, addr2, carry_in)
832 variable res : std_logic_vector (dsize downto 0);
835 if sel = ADDR_ADC then
836 res := ('0' & addr1) + ('0' & addr2) + carry_in;
837 addr_out <= res(dsize - 1 downto 0);
838 carry_out <= res(dsize);
840 elsif sel = ADDR_SIGNED_ADD then
841 res := ('0' & addr1) + ('0' & addr2);
842 addr_out <= res(dsize - 1 downto 0);
843 -- if (addr2(dsize - 1) = '0') then
844 -- ---positive value add.
845 -- if (res(dsize) = '1') then
851 -- ---negative value add.
852 -- if (res(dsize) = '0') then
858 -->>>simplified above.
859 if ((addr2(dsize - 1) xor res(dsize)) = '1') then
865 elsif sel = ADDR_INC then
866 res := ('0' & addr1) + "000000001";
867 addr_out <= res(dsize - 1 downto 0);
868 carry_out <= res(dsize);
869 elsif sel = ADDR_DEC then
870 res := ('0' & addr1) - "000000001";
871 addr_out <= res(dsize - 1 downto 0);
872 carry_out <= res(dsize);
879 -----------------------------------------
880 ------------- ALU Core -----------------
881 -----------------------------------------
884 use ieee.std_logic_1164.all;
885 use ieee.std_logic_unsigned.all;
890 generic ( dsize : integer := 8
893 sel : in std_logic_vector (3 downto 0);
894 d1 : in std_logic_vector (dsize - 1 downto 0);
895 d2 : in std_logic_vector (dsize - 1 downto 0);
896 d_out : out std_logic_vector (dsize - 1 downto 0);
897 carry_in : in std_logic;
898 negative : out std_logic;
899 zero : out std_logic;
900 carry_out : out std_logic;
901 overflow : out std_logic
905 architecture rtl of alu_core is
907 constant ALU_AND : std_logic_vector (3 downto 0) := "0000";
908 constant ALU_EOR : std_logic_vector (3 downto 0) := "0001";
909 constant ALU_OR : std_logic_vector (3 downto 0) := "0010";
910 constant ALU_BIT : std_logic_vector (3 downto 0) := "0011";
911 constant ALU_ADC : std_logic_vector (3 downto 0) := "0100";
912 constant ALU_SBC : std_logic_vector (3 downto 0) := "0101";
913 constant ALU_CMP : std_logic_vector (3 downto 0) := "0110";
914 constant ALU_ASL : std_logic_vector (3 downto 0) := "0111";
915 constant ALU_LSR : std_logic_vector (3 downto 0) := "1000";
916 constant ALU_ROL : std_logic_vector (3 downto 0) := "1001";
917 constant ALU_ROR : std_logic_vector (3 downto 0) := "1010";
918 constant ALU_INC : std_logic_vector (3 downto 0) := "1011";
919 constant ALU_DEC : std_logic_vector (3 downto 0) := "1100";
923 alu_p : process (sel, d1, d2, carry_in)
924 variable res : std_logic_vector (dsize downto 0);
926 procedure set_n (data : in std_logic_vector (dsize - 1 downto 0)) is
928 if (data(7) = '1') then
935 procedure set_z (data : in std_logic_vector (dsize - 1 downto 0)) is
937 if (data = "00000000") then
945 if sel = ALU_AND then
946 res(dsize - 1 downto 0) := d1 and d2;
947 set_n(res(dsize - 1 downto 0));
948 set_z(res(dsize - 1 downto 0));
949 d_out <= res(dsize - 1 downto 0);
951 elsif sel = ALU_EOR then
952 res(dsize - 1 downto 0) := d1 xor d2;
953 set_n(res(dsize - 1 downto 0));
954 set_z(res(dsize - 1 downto 0));
955 d_out <= res(dsize - 1 downto 0);
957 elsif sel = ALU_OR then
958 res(dsize - 1 downto 0) := d1 or d2;
959 set_n(res(dsize - 1 downto 0));
960 set_z(res(dsize - 1 downto 0));
961 d_out <= res(dsize - 1 downto 0);
963 elsif sel = ALU_BIT then
964 --transfer bit 7 and 6 of memory data to n, v flag.
967 ----zero bit after A and M.
968 res(dsize - 1 downto 0) := d1 and d2;
969 set_z(res(dsize - 1 downto 0));
971 elsif sel = ALU_ADC then
972 res := ('0' & d1) + ('0' & d2) + carry_in;
973 d_out <= res(dsize - 1 downto 0);
974 carry_out <= res(dsize);
975 if ((d1(dsize - 1) = d2(dsize - 1))
976 and (d1(dsize - 1) /= res(dsize - 1))) then
981 set_n(res(dsize - 1 downto 0));
982 set_z(res(dsize - 1 downto 0));
984 elsif sel = ALU_SBC then
986 res := ('0' & d1) - ('0' & d2) - not carry_in;
987 d_out <= res(dsize - 1 downto 0);
989 --c Set if unsigned borrow not required; cleared if unsigned borrow.
990 carry_out <= not res(dsize);
991 --v Set if signed borrow required; cleared if no signed borrow.
992 if ((d1(dsize - 1) /= d2(dsize - 1))
993 and (d1(dsize - 1) /= res(dsize - 1))) then
998 set_n(res(dsize - 1 downto 0));
999 set_z(res(dsize - 1 downto 0));
1001 elsif sel = ALU_CMP then
1002 res := ('0' & d1) - ('0' & d2);
1008 set_n(res(dsize - 1 downto 0));
1009 set_z(res(dsize - 1 downto 0));
1011 elsif sel = ALU_ASL then
1012 res(dsize - 1 downto 1) := d1(dsize - 2 downto 0);
1015 d_out <= res(dsize - 1 downto 0);
1016 set_n(res(dsize - 1 downto 0));
1017 set_z(res(dsize - 1 downto 0));
1018 carry_out <= d1(dsize - 1);
1020 elsif sel = ALU_LSR then
1021 res(dsize - 1) := '0';
1022 res(dsize - 2 downto 0) := d1(dsize - 1 downto 1);
1024 d_out <= res(dsize - 1 downto 0);
1025 set_n(res(dsize - 1 downto 0));
1026 set_z(res(dsize - 1 downto 0));
1029 elsif sel = ALU_ROL then
1030 res(dsize - 1 downto 1) := d1(dsize - 2 downto 0);
1033 d_out <= res(dsize - 1 downto 0);
1034 set_n(res(dsize - 1 downto 0));
1035 set_z(res(dsize - 1 downto 0));
1038 elsif sel = ALU_ROR then
1039 res(dsize - 1) := carry_in;
1040 res(dsize - 2 downto 0) := d1(dsize - 1 downto 1);
1042 d_out <= res(dsize - 1 downto 0);
1043 set_n(res(dsize - 1 downto 0));
1044 set_z(res(dsize - 1 downto 0));
1047 elsif sel = ALU_INC then
1048 res := ('0' & d1) + "000000001";
1049 d_out <= res(dsize - 1 downto 0);
1050 set_n(res(dsize - 1 downto 0));
1051 set_z(res(dsize - 1 downto 0));
1053 elsif sel = ALU_DEC then
1054 res := ('0' & d1) - "000000001";
1055 d_out <= res(dsize - 1 downto 0);
1056 set_n(res(dsize - 1 downto 0));
1057 set_z(res(dsize - 1 downto 0));