OSDN Git Service

project file updated
[motonesfpga/motonesfpga.git] / de1_nes / de1_nes.qsf
1 # -------------------------------------------------------------------------- #\r
2 #\r
3 # Copyright (C) 1991-2013 Altera Corporation\r
4 # Your use of Altera Corporation's design tools, logic functions \r
5 # and other software and tools, and its AMPP partner logic \r
6 # functions, and any output files from any of the foregoing \r
7 # (including device programming or simulation files), and any \r
8 # associated documentation or information are expressly subject \r
9 # to the terms and conditions of the Altera Program License \r
10 # Subscription Agreement, Altera MegaCore Function License \r
11 # Agreement, or other applicable license agreement, including, \r
12 # without limitation, that your use is for the sole purpose of \r
13 # programming logic devices manufactured by Altera and sold by \r
14 # Altera or its authorized distributors.  Please refer to the \r
15 # applicable agreement for further details.\r
16 #\r
17 # -------------------------------------------------------------------------- #\r
18 #\r
19 # Quartus II 32-bit\r
20 # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition\r
21 # Date created = 10:56:05  January 03, 2016\r
22 #\r
23 # -------------------------------------------------------------------------- #\r
24 #\r
25 # Notes:\r
26 #\r
27 # 1) The default values for assignments are stored in the file:\r
28 #               de1_nes_assignment_defaults.qdf\r
29 #    If this file doesn't exist, see file:\r
30 #               assignment_defaults.qdf\r
31 #\r
32 # 2) Altera recommends that you do not modify this file. This\r
33 #    file is updated automatically by the Quartus II software\r
34 #    and any changes you make may be lost or overwritten.\r
35 #\r
36 # -------------------------------------------------------------------------- #\r
37 \r
38 \r
39 set_global_assignment -name FAMILY "Cyclone II"\r
40 set_global_assignment -name DEVICE EP2C20F484C7\r
41 set_global_assignment -name TOP_LEVEL_ENTITY de1_nes\r
42 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"\r
43 set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:56:05  JANUARY 03, 2016"\r
44 set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"\r
45 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files\r
46 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0\r
47 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85\r
48 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA\r
49 set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484\r
50 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7\r
51 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1\r
52 ##VGA\r
53 set_location_assignment PIN_D9 -to r[0]\r
54 set_location_assignment PIN_C9 -to r[1]\r
55 set_location_assignment PIN_A7 -to r[2]\r
56 set_location_assignment PIN_B7 -to r[3]\r
57 set_location_assignment PIN_B8 -to g[0]\r
58 set_location_assignment PIN_C10 -to g[1]\r
59 set_location_assignment PIN_B9 -to g[2]\r
60 set_location_assignment PIN_A8 -to g[3]\r
61 set_location_assignment PIN_A9 -to b[0]\r
62 set_location_assignment PIN_D11 -to b[1]\r
63 set_location_assignment PIN_A10 -to b[2]\r
64 set_location_assignment PIN_B10 -to b[3]\r
65 set_location_assignment PIN_A11 -to h_sync_n\r
66 set_location_assignment PIN_B11 -to v_sync_n\r
67 \r
68 #other\r
69 set_location_assignment PIN_L1 -to base_clk\r
70 set_location_assignment PIN_R22 -to rst_n\r
71 \r
72 ##DRAM\r
73 set_location_assignment PIN_W4 -to dram_addr[0]\r
74 set_location_assignment PIN_W5 -to dram_addr[1]\r
75 set_location_assignment PIN_Y3 -to dram_addr[2]\r
76 set_location_assignment PIN_Y4 -to dram_addr[3]\r
77 set_location_assignment PIN_R6 -to dram_addr[4]\r
78 set_location_assignment PIN_R5 -to dram_addr[5]\r
79 set_location_assignment PIN_P6 -to dram_addr[6]\r
80 set_location_assignment PIN_P5 -to dram_addr[7]\r
81 set_location_assignment PIN_P3 -to dram_addr[8]\r
82 set_location_assignment PIN_N4 -to dram_addr[9]\r
83 set_location_assignment PIN_W3 -to dram_addr[10]\r
84 set_location_assignment PIN_N6 -to dram_addr[11]\r
85 set_location_assignment PIN_U3 -to dram_bank[0]\r
86 set_location_assignment PIN_V4 -to dram_bank[1]\r
87 set_location_assignment PIN_T3 -to dram_cas_n\r
88 set_location_assignment PIN_N3 -to dram_cke\r
89 set_location_assignment PIN_U4 -to dram_clk\r
90 set_location_assignment PIN_T6 -to dram_cs_n\r
91 set_location_assignment PIN_U1 -to dram_dq[0]\r
92 set_location_assignment PIN_U2 -to dram_dq[1]\r
93 set_location_assignment PIN_V1 -to dram_dq[2]\r
94 set_location_assignment PIN_V2 -to dram_dq[3]\r
95 set_location_assignment PIN_W1 -to dram_dq[4]\r
96 set_location_assignment PIN_W2 -to dram_dq[5]\r
97 set_location_assignment PIN_Y1 -to dram_dq[6]\r
98 set_location_assignment PIN_Y2 -to dram_dq[7]\r
99 set_location_assignment PIN_N1 -to dram_dq[8]\r
100 set_location_assignment PIN_N2 -to dram_dq[9]\r
101 set_location_assignment PIN_P1 -to dram_dq[10]\r
102 set_location_assignment PIN_P2 -to dram_dq[11]\r
103 set_location_assignment PIN_R1 -to dram_dq[12]\r
104 set_location_assignment PIN_R2 -to dram_dq[13]\r
105 set_location_assignment PIN_T1 -to dram_dq[14]\r
106 set_location_assignment PIN_T2 -to dram_dq[15]\r
107 set_location_assignment PIN_R7 -to dram_ldqm\r
108 set_location_assignment PIN_T5 -to dram_ras_n\r
109 set_location_assignment PIN_M5 -to dram_udqm\r
110 set_location_assignment PIN_R8 -to dram_we_n\r
111 \r
112 #project files\r
113 set_global_assignment -name VHDL_FILE apu/apu.vhd\r
114 set_global_assignment -name VHDL_FILE address_decoder.vhd\r
115 set_global_assignment -name VHDL_FILE motonesfpga_common.vhd\r
116 set_global_assignment -name VHDL_FILE clock/clock_divider.vhd\r
117 set_global_assignment -name VHDL_FILE mem/prg_rom.vhd\r
118 set_global_assignment -name VHDL_FILE mem/chr_rom.vhd\r
119 set_global_assignment -name VHDL_FILE mem/ram.vhd\r
120 set_global_assignment -name VHDL_FILE ppu/ppu_registers.vhd\r
121 set_global_assignment -name VHDL_FILE ppu/vga.vhd\r
122 set_global_assignment -name VHDL_FILE ppu/render.vhd\r
123 set_global_assignment -name VHDL_FILE ppu/ppu.vhd\r
124 set_global_assignment -name VHDL_FILE cpu/alu.vhd\r
125 set_global_assignment -name VHDL_FILE cpu/cpu_registers.vhd\r
126 set_global_assignment -name VHDL_FILE cpu/decoder.vhd\r
127 set_global_assignment -name VHDL_FILE cpu/mos6502.vhd\r
128 set_global_assignment -name VHDL_FILE de1_nes.vhd\r
129 \r
130 #need this config to program active serial mode...\r
131 set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4\r
132 \r
133 #other setting...\r
134 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top\r
135 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top\r
136 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top\r
137 \r
138 \r
139 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top