1 -------------------------------
2 -- LS373 transparent D-latch---
3 -------------------------------
5 use ieee.std_logic_1164.all;
11 port ( c : in std_logic;
14 d : in std_logic_vector(dsize - 1 downto 0);
15 q : out std_logic_vector(dsize - 1 downto 0)
19 architecture rtl of ls373 is
27 -------------------------------------------------------------
30 use ieee.std_logic_1164.all;
34 signal dbg_ppu_ce_n : out std_logic;
35 signal dbg_ppu_ctrl, dbg_ppu_mask, dbg_ppu_status : out std_logic_vector (7 downto 0);
36 signal dbg_ppu_addr : out std_logic_vector (13 downto 0);
37 signal dbg_ppu_data, dbg_ppu_scrl_x, dbg_ppu_scrl_y : out std_logic_vector (7 downto 0);
39 signal dbg_nes_x : out std_logic_vector (8 downto 0);
40 signal dbg_vga_x : out std_logic_vector (9 downto 0);
41 signal dbg_nes_y : out std_logic_vector (8 downto 0);
42 signal dbg_vga_y : out std_logic_vector (9 downto 0);
43 signal dbg_disp_nt, dbg_disp_attr : out std_logic_vector (7 downto 0);
44 signal dbg_disp_ptn_h, dbg_disp_ptn_l : out std_logic_vector (15 downto 0);
45 signal dbg_plt_ce_rn_wn : out std_logic_vector (2 downto 0);
46 signal dbg_plt_addr : out std_logic_vector (4 downto 0);
47 signal dbg_plt_data : out std_logic_vector (7 downto 0);
48 signal dbg_p_oam_ce_rn_wn : out std_logic_vector (2 downto 0);
49 signal dbg_p_oam_addr : out std_logic_vector (7 downto 0);
50 signal dbg_p_oam_data : out std_logic_vector (7 downto 0);
51 signal dbg_s_oam_ce_rn_wn : out std_logic_vector (2 downto 0);
52 signal dbg_s_oam_addr : out std_logic_vector (4 downto 0);
53 signal dbg_s_oam_data : out std_logic_vector (7 downto 0);
55 signal dbg_ppu_addr_we_n : out std_logic;
56 signal dbg_ppu_clk_cnt : out std_logic_vector(1 downto 0);
59 ppu_clk : in std_logic;
60 vga_clk : in std_logic;
61 emu_ppu_clk : in std_logic;
65 cpu_addr : in std_logic_vector (2 downto 0);
66 cpu_d : inout std_logic_vector (7 downto 0);
68 vblank_n : out std_logic;
72 vram_ad : inout std_logic_vector (7 downto 0);
73 vram_a : out std_logic_vector (13 downto 8);
75 h_sync_n : out std_logic;
76 v_sync_n : out std_logic;
77 r : out std_logic_vector(3 downto 0);
78 g : out std_logic_vector(3 downto 0);
79 b : out std_logic_vector(3 downto 0)
84 architecture rtl of ppu is
87 cpu_d <= (others => 'Z');
92 vram_ad <= (others => 'Z');
93 vram_a <= (others => 'Z');
104 -------------------------------------
106 use ieee.std_logic_1164.all;
110 generic (abus_size : integer := 13; dbus_size : integer := 8);
113 ce_n : in std_logic; --active low.
114 addr : in std_logic_vector (abus_size - 1 downto 0);
115 data : out std_logic_vector (dbus_size - 1 downto 0)
119 architecture rtl of chr_rom is
121 data <= (others => 'Z');