OSDN Git Service

e7e1e493670507f6b41d9b3ab6365d9aa2f42ab3
[motonesfpga/motonesfpga.git] / de1_nes / simulation / modelsim / motones_modelsim.mpf
1 ; Copyright 1991-2009 Mentor Graphics Corporation\r
2 ;\r
3 ; All Rights Reserved.\r
4 ;\r
5 ; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF \r
6 ; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.\r
7 ;   \r
8 \r
9 [Library]\r
10 std = $MODEL_TECH/../std\r
11 ieee = $MODEL_TECH/../ieee\r
12 verilog = $MODEL_TECH/../verilog\r
13 vital2000 = $MODEL_TECH/../vital2000\r
14 std_developerskit = $MODEL_TECH/../std_developerskit\r
15 synopsys = $MODEL_TECH/../synopsys\r
16 modelsim_lib = $MODEL_TECH/../modelsim_lib\r
17 sv_std = $MODEL_TECH/../sv_std\r
18 \r
19 ; Altera Primitive libraries\r
20 ;\r
21 ; VHDL Section\r
22 ;\r
23 altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf\r
24 altera = $MODEL_TECH/../altera/vhdl/altera\r
25 altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim\r
26 lpm = $MODEL_TECH/../altera/vhdl/220model\r
27 220model = $MODEL_TECH/../altera/vhdl/220model\r
28 max = $MODEL_TECH/../altera/vhdl/max\r
29 maxii = $MODEL_TECH/../altera/vhdl/maxii\r
30 maxv = $MODEL_TECH/../altera/vhdl/maxv\r
31 stratix = $MODEL_TECH/../altera/vhdl/stratix\r
32 stratixii = $MODEL_TECH/../altera/vhdl/stratixii\r
33 stratixiigx = $MODEL_TECH/../altera/vhdl/stratixiigx\r
34 hardcopyii = $MODEL_TECH/../altera/vhdl/hardcopyii\r
35 hardcopyiii = $MODEL_TECH/../altera/vhdl/hardcopyiii\r
36 hardcopyiv = $MODEL_TECH/../altera/vhdl/hardcopyiv\r
37 cyclone = $MODEL_TECH/../altera/vhdl/cyclone\r
38 cycloneii = $MODEL_TECH/../altera/vhdl/cycloneii\r
39 cycloneiii = $MODEL_TECH/../altera/vhdl/cycloneiii\r
40 cycloneiiils = $MODEL_TECH/../altera/vhdl/cycloneiiils\r
41 sgate = $MODEL_TECH/../altera/vhdl/sgate\r
42 stratixgx = $MODEL_TECH/../altera/vhdl/stratixgx\r
43 altgxb = $MODEL_TECH/../altera/vhdl/altgxb\r
44 stratixgx_gxb = $MODEL_TECH/../altera/vhdl/stratixgx_gxb\r
45 stratixiigx_hssi = $MODEL_TECH/../altera/vhdl/stratixiigx_hssi\r
46 arriagx_hssi = $MODEL_TECH/../altera/vhdl/arriagx_hssi\r
47 arriaii = $MODEL_TECH/../altera/vhdl/arriaii\r
48 arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi\r
49 arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip\r
50 arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz\r
51 arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi\r
52 arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip\r
53 arriagx = $MODEL_TECH/../altera/vhdl/arriagx\r
54 altgxb_lib = $MODEL_TECH/../altera/vhdl/altgxb\r
55 stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv\r
56 stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi\r
57 stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip\r
58 cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv\r
59 cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi\r
60 cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip\r
61 cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive\r
62 hardcopyiv_hssi = $MODEL_TECH/../altera/vhdl/hardcopyiv_hssi\r
63 hardcopyiv_pcie_hip = $MODEL_TECH/../altera/vhdl/hardcopyiv_pcie_hip\r
64 stratixv = $MODEL_TECH/../altera/vhdl/stratixv\r
65 stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi\r
66 stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip\r
67 arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz\r
68 arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi\r
69 arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip\r
70 arriav = $MODEL_TECH/../altera/vhdl/arriav\r
71 cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev\r
72 ;\r
73 ; Verilog Section\r
74 ;\r
75 altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf\r
76 altera_ver = $MODEL_TECH/../altera/verilog/altera\r
77 altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim\r
78 lpm_ver = $MODEL_TECH/../altera/verilog/220model\r
79 220model_ver = $MODEL_TECH/../altera/verilog/220model\r
80 max_ver = $MODEL_TECH/../altera/verilog/max\r
81 maxii_ver = $MODEL_TECH/../altera/verilog/maxii\r
82 maxv_ver = $MODEL_TECH/../altera/verilog/maxv\r
83 stratix_ver = $MODEL_TECH/../altera/verilog/stratix\r
84 stratixii_ver = $MODEL_TECH/../altera/verilog/stratixii\r
85 stratixiigx_ver = $MODEL_TECH/../altera/verilog/stratixiigx\r
86 arriagx_ver = $MODEL_TECH/../altera/verilog/arriagx\r
87 hardcopyii_ver = $MODEL_TECH/../altera/verilog/hardcopyii\r
88 hardcopyiii_ver = $MODEL_TECH/../altera/verilog/hardcopyiii\r
89 hardcopyiv_ver = $MODEL_TECH/../altera/verilog/hardcopyiv\r
90 cyclone_ver = $MODEL_TECH/../altera/verilog/cyclone\r
91 cycloneii_ver = $MODEL_TECH/../altera/verilog/cycloneii\r
92 cycloneiii_ver = $MODEL_TECH/../altera/verilog/cycloneiii\r
93 cycloneiiils_ver = $MODEL_TECH/../altera/verilog/cycloneiiils\r
94 sgate_ver = $MODEL_TECH/../altera/verilog/sgate\r
95 stratixgx_ver = $MODEL_TECH/../altera/verilog/stratixgx\r
96 altgxb_ver = $MODEL_TECH/../altera/verilog/altgxb\r
97 stratixgx_gxb_ver = $MODEL_TECH/../altera/verilog/stratixgx_gxb\r
98 stratixiigx_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiigx_hssi\r
99 arriagx_hssi_ver = $MODEL_TECH/../altera/verilog/arriagx_hssi\r
100 arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii\r
101 arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi\r
102 arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip\r
103 arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz\r
104 arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi\r
105 arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip\r
106 stratixiii_ver = $MODEL_TECH/../altera/verilog/stratixiii\r
107 stratixiii = $MODEL_TECH/../altera/vhdl/stratixiii\r
108 stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv\r
109 stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi\r
110 stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip\r
111 stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv\r
112 stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi\r
113 stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip\r
114 arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz\r
115 arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi\r
116 arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip\r
117 arriav_ver = $MODEL_TECH/../altera/verilog/arriav\r
118 arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi\r
119 arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip\r
120 cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev\r
121 cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi\r
122 cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip\r
123 cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv\r
124 cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi\r
125 cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip\r
126 cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive\r
127 hardcopyiv_hssi_ver = $MODEL_TECH/../altera/verilog/hardcopyiv_hssi\r
128 hardcopyiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/hardcopyiv_pcie_hip\r
129 \r
130 work = gate_work\r
131 [vcom]\r
132 ; VHDL93 variable selects language version as the default. \r
133 ; Default is VHDL-2002.\r
134 ; Value of 0 or 1987 for VHDL-1987.\r
135 ; Value of 1 or 1993 for VHDL-1993.\r
136 ; Default or value of 2 or 2002 for VHDL-2002.\r
137 ; Default or value of 3 or 2008 for VHDL-2008.\r
138 VHDL93 = 2002\r
139 \r
140 ; Show source line containing error. Default is off.\r
141 ; Show_source = 1\r
142 \r
143 ; Turn off unbound-component warnings. Default is on.\r
144 ; Show_Warning1 = 0\r
145 \r
146 ; Turn off process-without-a-wait-statement warnings. Default is on.\r
147 ; Show_Warning2 = 0\r
148 \r
149 ; Turn off null-range warnings. Default is on.\r
150 ; Show_Warning3 = 0\r
151 \r
152 ; Turn off no-space-in-time-literal warnings. Default is on.\r
153 ; Show_Warning4 = 0\r
154 \r
155 ; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.\r
156 ; Show_Warning5 = 0\r
157 \r
158 ; Turn off optimization for IEEE std_logic_1164 package. Default is on.\r
159 ; Optimize_1164 = 0\r
160 \r
161 ; Turn on resolving of ambiguous function overloading in favor of the\r
162 ; "explicit" function declaration (not the one automatically created by\r
163 ; the compiler for each type declaration). Default is off.\r
164 ; The .ini file has Explicit enabled so that std_logic_signed/unsigned\r
165 ; will match the behavior of synthesis tools.\r
166 Explicit = 1\r
167 \r
168 ; Turn off acceleration of the VITAL packages. Default is to accelerate.\r
169 ; NoVital = 1\r
170 \r
171 ; Turn off VITAL compliance checking. Default is checking on.\r
172 ; NoVitalCheck = 1\r
173 \r
174 ; Ignore VITAL compliance checking errors. Default is to not ignore.\r
175 ; IgnoreVitalErrors = 1\r
176 \r
177 ; Turn off VITAL compliance checking warnings. Default is to show warnings.\r
178 ; Show_VitalChecksWarnings = 0\r
179 \r
180 ; Keep silent about case statement static warnings.\r
181 ; Default is to give a warning.\r
182 ; NoCaseStaticError = 1\r
183 \r
184 ; Keep silent about warnings caused by aggregates that are not locally static.\r
185 ; Default is to give a warning.\r
186 ; NoOthersStaticError = 1\r
187 \r
188 ; Turn off inclusion of debugging info within design units.\r
189 ; Default is to include debugging info.\r
190 ; NoDebug = 1\r
191 \r
192 ; Turn off "Loading..." messages. Default is messages on.\r
193 ; Quiet = 1\r
194 \r
195 ; Turn on some limited synthesis rule compliance checking. Checks only:\r
196 ;    -- signals used (read) by a process must be in the sensitivity list\r
197 ; CheckSynthesis = 1\r
198 \r
199 ; Activate optimizations on expressions that do not involve signals,\r
200 ; waits, or function/procedure/task invocations. Default is off.\r
201 ; ScalarOpts = 1\r
202 \r
203 ; Require the user to specify a configuration for all bindings,\r
204 ; and do not generate a compile time default binding for the\r
205 ; component. This will result in an elaboration error of\r
206 ; 'component not bound' if the user fails to do so. Avoids the rare\r
207 ; issue of a false dependency upon the unused default binding.\r
208 ; RequireConfigForAllDefaultBinding = 1\r
209 \r
210 ; Inhibit range checking on subscripts of arrays. Range checking on\r
211 ; scalars defined with subtypes is inhibited by default.\r
212 ; NoIndexCheck = 1\r
213 \r
214 ; Inhibit range checks on all (implicit and explicit) assignments to\r
215 ; scalar objects defined with subtypes.\r
216 ; NoRangeCheck = 1\r
217 \r
218 [vlog]\r
219 \r
220 ; Turn off inclusion of debugging info within design units.\r
221 ; Default is to include debugging info.\r
222 ; NoDebug = 1\r
223 \r
224 ; Turn off "loading..." messages. Default is messages on.\r
225 ; Quiet = 1\r
226 \r
227 ; Turn on Verilog hazard checking (order-dependent accessing of global vars).\r
228 ; Default is off.\r
229 ; Hazard = 1\r
230 \r
231 ; Turn on converting regular Verilog identifiers to uppercase. Allows case\r
232 ; insensitivity for module names. Default is no conversion.\r
233 ; UpCase = 1\r
234 \r
235 ; Turn on incremental compilation of modules. Default is off.\r
236 ; Incremental = 1\r
237 \r
238 ; Turns on lint-style checking.\r
239 ; Show_Lint = 1\r
240 \r
241 [vsim]\r
242 ; Simulator resolution\r
243 ; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.\r
244 Resolution = ps\r
245 \r
246 ; User time unit for run commands\r
247 ; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the\r
248 ; unit specified for Resolution. For example, if Resolution is 100ps,\r
249 ; then UserTimeUnit defaults to ps.\r
250 ; Should generally be set to default.\r
251 UserTimeUnit = default\r
252 \r
253 ; Default run length\r
254 RunLength = 80 ps\r
255 \r
256 ; Maximum iterations that can be run without advancing simulation time\r
257 IterationLimit = 5000\r
258 \r
259 ; Directive to license manager:\r
260 ; vhdl          Immediately reserve a VHDL license\r
261 ; vlog          Immediately reserve a Verilog license\r
262 ; plus          Immediately reserve a VHDL and Verilog license\r
263 ; nomgc         Do not look for Mentor Graphics Licenses\r
264 ; nomti         Do not look for Model Technology Licenses\r
265 ; noqueue       Do not wait in the license queue when a license isn't available\r
266 ; viewsim       Try for viewer license but accept simulator license(s) instead\r
267 ;               of queuing for viewer license\r
268 ; License = plus\r
269 \r
270 ; Stop the simulator after a VHDL/Verilog assertion message\r
271 ; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal\r
272 BreakOnAssertion = 3\r
273 \r
274 ; Assertion Message Format\r
275 ; %S - Severity Level \r
276 ; %R - Report Message\r
277 ; %T - Time of assertion\r
278 ; %D - Delta\r
279 ; %I - Instance or Region pathname (if available)\r
280 ; %% - print '%' character\r
281 ; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"\r
282 \r
283 ; Assertion File - alternate file for storing VHDL/Verilog assertion messages\r
284 ; AssertFile = assert.log\r
285 \r
286 ; Default radix for all windows and commands...\r
287 ; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned\r
288 DefaultRadix = symbolic\r
289 \r
290 ; VSIM Startup command\r
291 ; Startup = do startup.do\r
292 \r
293 ; File for saving command transcript\r
294 TranscriptFile = transcript\r
295 \r
296 ; File for saving command history\r
297 ; CommandHistory = cmdhist.log\r
298 \r
299 ; Specify whether paths in simulator commands should be described\r
300 ; in VHDL or Verilog format.\r
301 ; For VHDL, PathSeparator = /\r
302 ; For Verilog, PathSeparator = .\r
303 ; Must not be the same character as DatasetSeparator.\r
304 PathSeparator = /\r
305 \r
306 ; Specify the dataset separator for fully rooted contexts.\r
307 ; The default is ':'. For example, sim:/top\r
308 ; Must not be the same character as PathSeparator.\r
309 DatasetSeparator = :\r
310 \r
311 ; Disable VHDL assertion messages\r
312 ; IgnoreNote = 1\r
313 ; IgnoreWarning = 1\r
314 ; IgnoreError = 1\r
315 ; IgnoreFailure = 1\r
316 \r
317 ; Default force kind. May be freeze, drive, deposit, or default\r
318 ; or in other terms, fixed, wired, or charged.\r
319 ; A value of "default" will use the signal kind to determine the\r
320 ; force kind, drive for resolved signals, freeze for unresolved signals\r
321 ; DefaultForceKind = freeze\r
322 \r
323 ; If zero, open files when elaborated; otherwise, open files on\r
324 ; first read or write.  Default is 0.\r
325 ; DelayFileOpen = 1\r
326 \r
327 ; Control VHDL files opened for write.\r
328 ;   0 = Buffered, 1 = Unbuffered\r
329 UnbufferedOutput = 0\r
330 \r
331 ; Control the number of VHDL files open concurrently.\r
332 ; This number should always be less than the current ulimit\r
333 ; setting for max file descriptors.\r
334 ;   0 = unlimited\r
335 ConcurrentFileLimit = 40\r
336 \r
337 ; Control the number of hierarchical regions displayed as\r
338 ; part of a signal name shown in the Wave window.\r
339 ; A value of zero tells VSIM to display the full name.\r
340 ; The default is 0.\r
341 ; WaveSignalNameWidth = 0\r
342 \r
343 ; Turn off warnings from the std_logic_arith, std_logic_unsigned\r
344 ; and std_logic_signed packages.\r
345 ; StdArithNoWarnings = 1\r
346 \r
347 ; Turn off warnings from the IEEE numeric_std and numeric_bit packages.\r
348 ; NumericStdNoWarnings = 1\r
349 \r
350 ; Control the format of the (VHDL) FOR generate statement label\r
351 ; for each iteration.  Do not quote it.\r
352 ; The format string here must contain the conversion codes %s and %d,\r
353 ; in that order, and no other conversion codes.  The %s represents\r
354 ; the generate_label; the %d represents the generate parameter value\r
355 ; at a particular generate iteration (this is the position number if\r
356 ; the generate parameter is of an enumeration type).  Embedded whitespace\r
357 ; is allowed (but discouraged); leading and trailing whitespace is ignored.\r
358 ; Application of the format must result in a unique scope name over all\r
359 ; such names in the design so that name lookup can function properly.\r
360 ; GenerateFormat = %s__%d\r
361 \r
362 ; Specify whether checkpoint files should be compressed.\r
363 ; The default is 1 (compressed).\r
364 ; CheckpointCompressMode = 0\r
365 \r
366 ; List of dynamically loaded objects for Verilog PLI applications\r
367 ; Veriuser = veriuser.sl\r
368 \r
369 ; Specify default options for the restart command. Options can be one\r
370 ; or more of: -force -nobreakpoint -nolist -nolog -nowave\r
371 ; DefaultRestartOptions = -force\r
372 \r
373 ; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs\r
374 ; (> 500 megabyte memory footprint). Default is disabled.\r
375 ; Specify number of megabytes to lock.\r
376 ; LockedMemory = 1000\r
377 \r
378 ; Turn on (1) or off (0) WLF file compression.\r
379 ; The default is 1 (compress WLF file).\r
380 ; WLFCompress = 0\r
381 \r
382 ; Specify whether to save all design hierarchy (1) in the WLF file\r
383 ; or only regions containing logged signals (0).\r
384 ; The default is 0 (save only regions with logged signals).\r
385 ; WLFSaveAllRegions = 1\r
386 \r
387 ; WLF file time limit.  Limit WLF file by time, as closely as possible,\r
388 ; to the specified amount of simulation time.  When the limit is exceeded\r
389 ; the earliest times get truncated from the file.\r
390 ; If both time and size limits are specified the most restrictive is used.\r
391 ; UserTimeUnits are used if time units are not specified.\r
392 ; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}\r
393 ; WLFTimeLimit = 0\r
394 \r
395 ; WLF file size limit.  Limit WLF file size, as closely as possible,\r
396 ; to the specified number of megabytes.  If both time and size limits\r
397 ; are specified then the most restrictive is used.\r
398 ; The default is 0 (no limit).\r
399 ; WLFSizeLimit = 1000\r
400 \r
401 ; Specify whether or not a WLF file should be deleted when the\r
402 ; simulation ends.  A value of 1 will cause the WLF file to be deleted.\r
403 ; The default is 0 (do not delete WLF file when simulation ends).\r
404 WLFDeleteOnQuit = 1\r
405 \r
406 ; Automatic SDF compilation\r
407 ; Disables automatic compilation of SDF files in flows that support it.\r
408 ; Default is on, uncomment to turn off.\r
409 ; NoAutoSDFCompile = 1\r
410 \r
411 [lmc]\r
412 \r
413 [msg_system]\r
414 ; Change a message severity or suppress a message.\r
415 ; The format is: <msg directive> = <msg number>[,<msg number>...]\r
416 ; Examples:\r
417 ;   note = 3009\r
418 ;   warning = 3033\r
419 ;   error = 3010,3016\r
420 ;   fatal = 3016,3033\r
421 ;   suppress = 3009,3016,3043\r
422 ; The command verror <msg number> can be used to get the complete\r
423 ; description of a message.\r
424 \r
425 ; Control transcripting of elaboration/runtime messages.\r
426 ; The default is to have messages appear in the transcript and \r
427 ; recorded in the wlf file (messages that are recorded in the\r
428 ; wlf file can be viewed in the MsgViewer).  The other settings\r
429 ; are to send messages only to the transcript or only to the \r
430 ; wlf file.  The valid values are\r
431 ;    both  {default}\r
432 ;    tran  {transcript only}\r
433 ;    wlf   {wlf file only}\r
434 ; msgmode = both\r
435 [Project]\r
436 ; Warning -- Do not edit the project properties directly.\r
437 ;            Property names are dynamic in nature and property\r
438 ;            values have special syntax.  Changing property data directly\r
439 ;            can result in a corrupt MPF file.  All project properties\r
440 ;            can be modified through project window dialogs.\r
441 Project_Version = 6\r
442 Project_DefaultLib = work\r
443 Project_SortMethod = unused\r
444 Project_Files_Count = 1\r
445 Project_File_0 = D:/daisuke/nes/repo/motonesfpga/de1_nes/simulation/modelsim/de1_nes.vho\r
446 Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 0 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 1 cover_noshort 0 compile_to work compile_order 0 cover_nosub 0 dont_compile 0 vhdl_use93 2002\r
447 Project_Sim_Count = 0\r
448 Project_Folder_Count = 0\r
449 Echo_Compile_Output = 0\r
450 Save_Compile_Report = 1\r
451 Project_Opt_Count = 0\r
452 ForceSoftPaths = 0\r
453 ProjectStatusDelay = 5000\r
454 VERILOG_DoubleClick = Edit\r
455 VERILOG_CustomDoubleClick = \r
456 SYSTEMVERILOG_DoubleClick = Edit\r
457 SYSTEMVERILOG_CustomDoubleClick = \r
458 VHDL_DoubleClick = Edit\r
459 VHDL_CustomDoubleClick = \r
460 PSL_DoubleClick = Edit\r
461 PSL_CustomDoubleClick = \r
462 TEXT_DoubleClick = Edit\r
463 TEXT_CustomDoubleClick = \r
464 SYSTEMC_DoubleClick = Edit\r
465 SYSTEMC_CustomDoubleClick = \r
466 TCL_DoubleClick = Edit\r
467 TCL_CustomDoubleClick = \r
468 MACRO_DoubleClick = Edit\r
469 MACRO_CustomDoubleClick = \r
470 VCD_DoubleClick = Edit\r
471 VCD_CustomDoubleClick = \r
472 SDF_DoubleClick = Edit\r
473 SDF_CustomDoubleClick = \r
474 XML_DoubleClick = Edit\r
475 XML_CustomDoubleClick = \r
476 LOGFILE_DoubleClick = Edit\r
477 LOGFILE_CustomDoubleClick = \r
478 UCDB_DoubleClick = Edit\r
479 UCDB_CustomDoubleClick = \r
480 UPF_DoubleClick = Edit\r
481 UPF_CustomDoubleClick = \r
482 PCF_DoubleClick = Edit\r
483 PCF_CustomDoubleClick = \r
484 PROJECT_DoubleClick = Edit\r
485 PROJECT_CustomDoubleClick = \r
486 VRM_DoubleClick = Edit\r
487 VRM_CustomDoubleClick = \r
488 DEBUGDATABASE_DoubleClick = Edit\r
489 DEBUGDATABASE_CustomDoubleClick = \r
490 DEBUGARCHIVE_DoubleClick = Edit\r
491 DEBUGARCHIVE_CustomDoubleClick = \r
492 Project_Major_Version = 10\r
493 Project_Minor_Version = 1\r