OSDN Git Service

Support for Toshiba MeP and for complex relocations.
[pf3gnuchains/pf3gnuchains3x.git] / gas / testsuite / gas / mep / allinsn.d
1 #as:
2 #objdump: -dr
3 #name: allinsn
4
5 .*: +file format .*
6
7 Disassembly of section .text:
8
9 00000000 <sb>:
10    0:   07 88           sb \$7,\(\$8\)
11    2:   05 98           sb \$5,\(\$9\)
12    4:   07 e8           sb \$7,\(\$gp\)
13    6:   0e 88           sb \$gp,\(\$8\)
14    8:   0f e8           sb \$sp,\(\$gp\)
15
16 0000000a <sh>:
17    a:   03 89           sh \$3,\(\$8\)
18    c:   0c 19           sh \$12,\(\$1\)
19    e:   0d 29           sh \$tp,\(\$2\)
20   10:   02 89           sh \$2,\(\$8\)
21   12:   0c a9           sh \$12,\(\$10\)
22
23 00000014 <sw>:
24   14:   0b 0a           sw \$11,\(\$0\)
25   16:   03 7a           sw \$3,\(\$7\)
26   18:   0d ea           sw \$tp,\(\$gp\)
27   1a:   08 9a           sw \$8,\(\$9\)
28   1c:   0e 8a           sw \$gp,\(\$8\)
29
30 0000001e <lb>:
31   1e:   0c bc           lb \$12,\(\$11\)
32   20:   09 2c           lb \$9,\(\$2\)
33   22:   08 bc           lb \$8,\(\$11\)
34   24:   0e 2c           lb \$gp,\(\$2\)
35   26:   02 cc           lb \$2,\(\$12\)
36
37 00000028 <lh>:
38   28:   0f 8d           lh \$sp,\(\$8\)
39   2a:   03 ad           lh \$3,\(\$10\)
40   2c:   09 fd           lh \$9,\(\$sp\)
41   2e:   06 fd           lh \$6,\(\$sp\)
42   30:   0f bd           lh \$sp,\(\$11\)
43
44 00000032 <lw>:
45   32:   0c ae           lw \$12,\(\$10\)
46   34:   09 de           lw \$9,\(\$tp\)
47   36:   0c ee           lw \$12,\(\$gp\)
48   38:   0c be           lw \$12,\(\$11\)
49   3a:   0d ae           lw \$tp,\(\$10\)
50
51 0000003c <lbu>:
52   3c:   0e eb           lbu \$gp,\(\$gp\)
53   3e:   0c 8b           lbu \$12,\(\$8\)
54   40:   0e 1b           lbu \$gp,\(\$1\)
55   42:   08 cb           lbu \$8,\(\$12\)
56   44:   0c 1b           lbu \$12,\(\$1\)
57
58 00000046 <lhu>:
59   46:   0f 4f           lhu \$sp,\(\$4\)
60   48:   0e 4f           lhu \$gp,\(\$4\)
61   4a:   05 4f           lhu \$5,\(\$4\)
62   4c:   0f df           lhu \$sp,\(\$tp\)
63   4e:   04 ff           lhu \$4,\(\$sp\)
64
65 00000050 <sw_sp>:
66   50:   c9 8a 00 03     sw \$9,3\(\$8\)
67   54:   ca 5a 00 04     sw \$10,4\(\$5\)
68   58:   c0 ea 00 03     sw \$0,3\(\$gp\)
69   5c:   c0 8a 00 02     sw \$0,2\(\$8\)
70   60:   cf 8a 00 01     sw \$sp,1\(\$8\)
71
72 00000064 <lw_sp>:
73   64:   cd 5e 00 01     lw \$tp,1\(\$5\)
74   68:   cf 0e 00 01     lw \$sp,1\(\$0\)
75   6c:   c0 ce 00 04     lw \$0,4\(\$12\)
76   70:   cb de 00 01     lw \$11,1\(\$tp\)
77   74:   c9 4e 00 03     lw \$9,3\(\$4\)
78
79 00000078 <sb_tp>:
80   78:   c5 18 00 01     sb \$5,1\(\$1\)
81   7c:   ca 98 00 01     sb \$10,1\(\$9\)
82   80:   c5 38 00 03     sb \$5,3\(\$3\)
83   84:   c5 38 00 01     sb \$5,1\(\$3\)
84   88:   ca 48 00 04     sb \$10,4\(\$4\)
85
86 0000008c <sh_tp>:
87   8c:   c3 09 00 01     sh \$3,1\(\$0\)
88   90:   cd 99 00 01     sh \$tp,1\(\$9\)
89   94:   c9 a9 00 04     sh \$9,4\(\$10\)
90   98:   cf e9 00 03     sh \$sp,3\(\$gp\)
91   9c:   ce 99 00 04     sh \$gp,4\(\$9\)
92
93 000000a0 <sw_tp>:
94   a0:   c6 da 00 02     sw \$6,2\(\$tp\)
95   a4:   c6 fa 00 01     sw \$6,1\(\$sp\)
96   a8:   c2 3a 00 02     sw \$2,2\(\$3\)
97   ac:   c6 ca 00 02     sw \$6,2\(\$12\)
98   b0:   c3 ba 00 01     sw \$3,1\(\$11\)
99
100 000000b4 <lb_tp>:
101   b4:   cd bc 00 04     lb \$tp,4\(\$11\)
102   b8:   cd 8c 00 04     lb \$tp,4\(\$8\)
103   bc:   c5 5c 00 04     lb \$5,4\(\$5\)
104   c0:   cf ec 00 02     lb \$sp,2\(\$gp\)
105   c4:   c3 3c 00 02     lb \$3,2\(\$3\)
106
107 000000c8 <lh_tp>:
108   c8:   c7 8d 00 02     lh \$7,2\(\$8\)
109   cc:   c4 8d 00 03     lh \$4,3\(\$8\)
110   d0:   ce fd 00 01     lh \$gp,1\(\$sp\)
111   d4:   c9 0d 00 01     lh \$9,1\(\$0\)
112   d8:   cd 0d 00 02     lh \$tp,2\(\$0\)
113
114 000000dc <lw_tp>:
115   dc:   48 07           lw \$8,0x4\(\$sp\)
116   de:   cb 9e 00 04     lw \$11,4\(\$9\)
117   e2:   ce 2e 00 01     lw \$gp,1\(\$2\)
118   e6:   c9 ee 00 02     lw \$9,2\(\$gp\)
119   ea:   c8 ce 00 01     lw \$8,1\(\$12\)
120
121 000000ee <lbu_tp>:
122   ee:   cc 9b 00 01     lbu \$12,1\(\$9\)
123   f2:   cb 9b 00 01     lbu \$11,1\(\$9\)
124   f6:   ce 8b 00 03     lbu \$gp,3\(\$8\)
125   fa:   c0 fb 00 02     lbu \$0,2\(\$sp\)
126   fe:   cd bb 00 01     lbu \$tp,1\(\$11\)
127
128 00000102 <lhu_tp>:
129  102:   ce af 00 02     lhu \$gp,2\(\$10\)
130  106:   cb 8f 00 01     lhu \$11,1\(\$8\)
131  10a:   c1 0f 00 01     lhu \$1,1\(\$0\)
132  10e:   c7 ff 00 02     lhu \$7,2\(\$sp\)
133  112:   8b 83           lhu \$3,0x2\(\$tp\)
134
135 00000114 <sb16>:
136  114:   c7 b8 ff ff     sb \$7,-1\(\$11\)
137  118:   cd e8 00 01     sb \$tp,1\(\$gp\)
138  11c:   c3 e8 00 01     sb \$3,1\(\$gp\)
139  120:   ce 68 00 02     sb \$gp,2\(\$6\)
140  124:   ce 78 00 01     sb \$gp,1\(\$7\)
141
142 00000128 <sh16>:
143  128:   cc 49 ff ff     sh \$12,-1\(\$4\)
144  12c:   cf 19 00 01     sh \$sp,1\(\$1\)
145  130:   c2 c9 ff fe     sh \$2,-2\(\$12\)
146  134:   c9 b9 00 02     sh \$9,2\(\$11\)
147  138:   c9 c9 ff fe     sh \$9,-2\(\$12\)
148
149 0000013c <sw16>:
150  13c:   cb ea ff ff     sw \$11,-1\(\$gp\)
151  140:   44 06           sw \$4,0x4\(\$sp\)
152  142:   c2 3a ff fe     sw \$2,-2\(\$3\)
153  146:   c6 2a ff ff     sw \$6,-1\(\$2\)
154  14a:   c8 da ff fe     sw \$8,-2\(\$tp\)
155
156 0000014e <lb16>:
157  14e:   ca 2c ff fe     lb \$10,-2\(\$2\)
158  152:   c3 bc ff fe     lb \$3,-2\(\$11\)
159  156:   cc 5c 00 01     lb \$12,1\(\$5\)
160  15a:   c5 5c 00 01     lb \$5,1\(\$5\)
161  15e:   cb dc 00 02     lb \$11,2\(\$tp\)
162
163 00000162 <lh16>:
164  162:   cf bd ff ff     lh \$sp,-1\(\$11\)
165  166:   cd bd ff fe     lh \$tp,-2\(\$11\)
166  16a:   c2 ad 00 01     lh \$2,1\(\$10\)
167  16e:   c8 7d ff ff     lh \$8,-1\(\$7\)
168  172:   ce bd ff ff     lh \$gp,-1\(\$11\)
169
170 00000176 <lw16>:
171  176:   c0 5e ff ff     lw \$0,-1\(\$5\)
172  17a:   cc 7e ff fe     lw \$12,-2\(\$7\)
173  17e:   c1 3e ff fe     lw \$1,-2\(\$3\)
174  182:   c1 7e 00 02     lw \$1,2\(\$7\)
175  186:   c4 8e 00 01     lw \$4,1\(\$8\)
176
177 0000018a <lbu16>:
178  18a:   cc 4b ff ff     lbu \$12,-1\(\$4\)
179  18e:   ce bb 00 01     lbu \$gp,1\(\$11\)
180  192:   c1 db ff ff     lbu \$1,-1\(\$tp\)
181  196:   c9 db ff ff     lbu \$9,-1\(\$tp\)
182  19a:   c8 fb 00 01     lbu \$8,1\(\$sp\)
183
184 0000019e <lhu16>:
185  19e:   cd ff ff ff     lhu \$tp,-1\(\$sp\)
186  1a2:   ce 8f 00 02     lhu \$gp,2\(\$8\)
187  1a6:   cf cf ff ff     lhu \$sp,-1\(\$12\)
188  1aa:   c3 0f ff ff     lhu \$3,-1\(\$0\)
189  1ae:   c3 cf ff fe     lhu \$3,-2\(\$12\)
190
191 000001b2 <sw24>:
192  1b2:   eb 06 00 00     sw \$11,\(0x4\)
193  1b6:   ef 06 00 00     sw \$sp,\(0x4\)
194  1ba:   e7 0a 00 00     sw \$7,\(0x8\)
195  1be:   ea 12 00 00     sw \$10,\(0x10\)
196  1c2:   e8 a2 00 00     sw \$8,\(0xa0\)
197
198 000001c6 <lw24>:
199  1c6:   e4 07 00 00     lw \$4,\(0x4\)
200  1ca:   ef 07 00 00     lw \$sp,\(0x4\)
201  1ce:   e4 13 00 00     lw \$4,\(0x10\)
202  1d2:   e8 03 00 00     lw \$8,\(0x0\)
203  1d6:   ed 0b 00 00     lw \$tp,\(0x8\)
204
205 000001da <extb>:
206  1da:   1d 0d           extb \$tp
207  1dc:   1d 0d           extb \$tp
208  1de:   16 0d           extb \$6
209  1e0:   1e 0d           extb \$gp
210  1e2:   1a 0d           extb \$10
211
212 000001e4 <exth>:
213  1e4:   1f 2d           exth \$sp
214  1e6:   12 2d           exth \$2
215  1e8:   15 2d           exth \$5
216  1ea:   1a 2d           exth \$10
217  1ec:   14 2d           exth \$4
218
219 000001ee <extub>:
220  1ee:   12 8d           extub \$2
221  1f0:   1d 8d           extub \$tp
222  1f2:   13 8d           extub \$3
223  1f4:   19 8d           extub \$9
224  1f6:   1e 8d           extub \$gp
225
226 000001f8 <extuh>:
227  1f8:   18 ad           extuh \$8
228  1fa:   18 ad           extuh \$8
229  1fc:   14 ad           extuh \$4
230  1fe:   10 ad           extuh \$0
231  200:   10 ad           extuh \$0
232
233 00000202 <ssarb>:
234  202:   12 8c           ssarb 2\(\$8\)
235  204:   12 dc           ssarb 2\(\$tp\)
236  206:   11 dc           ssarb 1\(\$tp\)
237  208:   12 5c           ssarb 2\(\$5\)
238  20a:   10 9c           ssarb 0\(\$9\)
239
240 0000020c <mov>:
241  20c:   02 30           mov \$2,\$3
242  20e:   03 b0           mov \$3,\$11
243  210:   0f a0           mov \$sp,\$10
244  212:   0f 00           mov \$sp,\$0
245  214:   03 d0           mov \$3,\$tp
246
247 00000216 <movi8>:
248  216:   5b ff           mov \$11,-1
249  218:   56 02           mov \$6,2
250  21a:   5f ff           mov \$sp,-1
251  21c:   5f 01           mov \$sp,1
252  21e:   5e ff           mov \$gp,-1
253
254 00000220 <movi16>:
255  220:   5f 00           mov \$sp,0
256  222:   50 02           mov \$0,2
257  224:   58 ff           mov \$8,-1
258  226:   5c 01           mov \$12,1
259  228:   57 ff           mov \$7,-1
260
261 0000022a <movu24>:
262  22a:   d2 01 00 00     movu \$2,0x1
263  22e:   ca 11 00 04     movu \$10,0x4
264  232:   c9 11 00 00     movu \$9,0x0
265  236:   d4 03 00 00     movu \$4,0x3
266  23a:   ce 11 00 01     movu \$gp,0x1
267
268 0000023e <movu16>:
269  23e:   cf 11 00 01     movu \$sp,0x1
270  242:   d6 03 00 00     movu \$6,0x3
271  246:   d0 03 00 00     movu \$0,0x3
272  24a:   ce 11 00 03     movu \$gp,0x3
273  24e:   ca 11 00 02     movu \$10,0x2
274
275 00000252 <movh>:
276  252:   c8 21 00 02     movh \$8,0x2
277  256:   cd 21 00 01     movh \$tp,0x1
278  25a:   ce 21 00 02     movh \$gp,0x2
279  25e:   cc 21 00 00     movh \$12,0x0
280  262:   cb 21 00 02     movh \$11,0x2
281
282 00000266 <add3>:
283  266:   9b 36           add3 \$6,\$11,\$3
284  268:   9d 5e           add3 \$gp,\$tp,\$5
285  26a:   9b 73           add3 \$3,\$11,\$7
286  26c:   9e dd           add3 \$tp,\$gp,\$tp
287  26e:   9e 80           add3 \$0,\$gp,\$8
288
289 00000270 <add>:
290  270:   6c 08           add \$12,2
291  272:   6c fc           add \$12,-1
292  274:   64 04           add \$4,1
293  276:   66 04           add \$6,1
294  278:   66 08           add \$6,2
295
296 0000027a <add3i>:
297  27a:   4b 04           add3 \$11,\$sp,0x4
298  27c:   c4 f0 00 01     add3 \$4,\$sp,1
299  280:   40 00           add3 \$0,\$sp,0x0
300  282:   cd f0 00 03     add3 \$tp,\$sp,3
301  286:   4b 00           add3 \$11,\$sp,0x0
302
303 00000288 <advck3>:
304  288:   0e a7           advck3 \$0,\$gp,\$10
305  28a:   0d 07           advck3 \$0,\$tp,\$0
306  28c:   0e d7           advck3 \$0,\$gp,\$tp
307  28e:   07 87           advck3 \$0,\$7,\$8
308  290:   01 27           advck3 \$0,\$1,\$2
309
310 00000292 <sub>:
311  292:   08 e4           sub \$8,\$gp
312  294:   01 94           sub \$1,\$9
313  296:   0d 74           sub \$tp,\$7
314  298:   0f 34           sub \$sp,\$3
315  29a:   02 74           sub \$2,\$7
316
317 0000029c <sbvck3>:
318  29c:   03 e5           sbvck3 \$0,\$3,\$gp
319  29e:   03 75           sbvck3 \$0,\$3,\$7
320  2a0:   0a a5           sbvck3 \$0,\$10,\$10
321  2a2:   04 d5           sbvck3 \$0,\$4,\$tp
322  2a4:   0a f5           sbvck3 \$0,\$10,\$sp
323
324 000002a6 <neg>:
325  2a6:   0e 71           neg \$gp,\$7
326  2a8:   01 71           neg \$1,\$7
327  2aa:   02 b1           neg \$2,\$11
328  2ac:   0d 81           neg \$tp,\$8
329  2ae:   0e d1           neg \$gp,\$tp
330
331 000002b0 <slt3>:
332  2b0:   0e 82           slt3 \$0,\$gp,\$8
333  2b2:   04 d2           slt3 \$0,\$4,\$tp
334  2b4:   0a e2           slt3 \$0,\$10,\$gp
335  2b6:   0e 52           slt3 \$0,\$gp,\$5
336  2b8:   03 c2           slt3 \$0,\$3,\$12
337
338 000002ba <sltu3>:
339  2ba:   02 83           sltu3 \$0,\$2,\$8
340  2bc:   0e b3           sltu3 \$0,\$gp,\$11
341  2be:   02 d3           sltu3 \$0,\$2,\$tp
342  2c0:   09 83           sltu3 \$0,\$9,\$8
343  2c2:   06 93           sltu3 \$0,\$6,\$9
344
345 000002c4 <slt3i>:
346  2c4:   66 11           slt3 \$0,\$6,0x2
347  2c6:   6b 09           slt3 \$0,\$11,0x1
348  2c8:   6f 01           slt3 \$0,\$sp,0x0
349  2ca:   63 01           slt3 \$0,\$3,0x0
350  2cc:   6d 01           slt3 \$0,\$tp,0x0
351
352 000002ce <sltu3i>:
353  2ce:   6e 25           sltu3 \$0,\$gp,0x4
354  2d0:   6d 1d           sltu3 \$0,\$tp,0x3
355  2d2:   63 0d           sltu3 \$0,\$3,0x1
356  2d4:   6c 05           sltu3 \$0,\$12,0x0
357  2d6:   61 1d           sltu3 \$0,\$1,0x3
358
359 000002d8 <sl1ad3>:
360  2d8:   28 e6           sl1ad3 \$0,\$8,\$gp
361  2da:   24 26           sl1ad3 \$0,\$4,\$2
362  2dc:   2f c6           sl1ad3 \$0,\$sp,\$12
363  2de:   29 16           sl1ad3 \$0,\$9,\$1
364  2e0:   28 26           sl1ad3 \$0,\$8,\$2
365
366 000002e2 <sl2ad3>:
367  2e2:   28 d7           sl2ad3 \$0,\$8,\$tp
368  2e4:   22 37           sl2ad3 \$0,\$2,\$3
369  2e6:   28 97           sl2ad3 \$0,\$8,\$9
370  2e8:   27 c7           sl2ad3 \$0,\$7,\$12
371  2ea:   24 c7           sl2ad3 \$0,\$4,\$12
372
373 000002ec <add3x>:
374  2ec:   cd b0 00 01     add3 \$tp,\$11,1
375  2f0:   cd 40 ff ff     add3 \$tp,\$4,-1
376  2f4:   c2 d0 00 01     add3 \$2,\$tp,1
377  2f8:   c3 e0 00 01     add3 \$3,\$gp,1
378  2fc:   ca f0 00 02     add3 \$10,\$sp,2
379
380 00000300 <slt3x>:
381  300:   c8 12 ff ff     slt3 \$8,\$1,-1
382  304:   c0 32 ff fe     slt3 \$0,\$3,-2
383  308:   c9 f2 ff ff     slt3 \$9,\$sp,-1
384  30c:   c3 82 00 02     slt3 \$3,\$8,2
385  310:   cd e2 00 00     slt3 \$tp,\$gp,0
386
387 00000314 <sltu3x>:
388  314:   cf b3 00 02     sltu3 \$sp,\$11,0x2
389  318:   c6 03 00 01     sltu3 \$6,\$0,0x1
390  31c:   c9 b3 00 03     sltu3 \$9,\$11,0x3
391  320:   64 05           sltu3 \$0,\$4,0x0
392  322:   cd e3 00 04     sltu3 \$tp,\$gp,0x4
393
394 00000326 <or>:
395  326:   1f e0           or \$sp,\$gp
396  328:   18 30           or \$8,\$3
397  32a:   10 f0           or \$0,\$sp
398  32c:   1d 00           or \$tp,\$0
399  32e:   18 60           or \$8,\$6
400
401 00000330 <and>:
402  330:   1f f1           and \$sp,\$sp
403  332:   16 e1           and \$6,\$gp
404  334:   14 21           and \$4,\$2
405  336:   15 81           and \$5,\$8
406  338:   17 e1           and \$7,\$gp
407
408 0000033a <xor>:
409  33a:   11 c2           xor \$1,\$12
410  33c:   1c d2           xor \$12,\$tp
411  33e:   1a 82           xor \$10,\$8
412  340:   1f b2           xor \$sp,\$11
413  342:   1c 82           xor \$12,\$8
414
415 00000344 <nor>:
416  344:   19 53           nor \$9,\$5
417  346:   18 23           nor \$8,\$2
418  348:   1f 93           nor \$sp,\$9
419  34a:   15 f3           nor \$5,\$sp
420  34c:   1f e3           nor \$sp,\$gp
421
422 0000034e <or3>:
423  34e:   cd f4 00 02     or3 \$tp,\$sp,0x2
424  352:   cf d4 00 03     or3 \$sp,\$tp,0x3
425  356:   c0 a4 00 04     or3 \$0,\$10,0x4
426  35a:   c9 f4 00 03     or3 \$9,\$sp,0x3
427  35e:   c9 f4 00 00     or3 \$9,\$sp,0x0
428
429 00000362 <and3>:
430  362:   c5 85 00 01     and3 \$5,\$8,0x1
431  366:   cb e5 00 03     and3 \$11,\$gp,0x3
432  36a:   c6 05 00 00     and3 \$6,\$0,0x0
433  36e:   cf f5 00 00     and3 \$sp,\$sp,0x0
434  372:   c1 a5 00 03     and3 \$1,\$10,0x3
435
436 00000376 <xor3>:
437  376:   c0 06 00 02     xor3 \$0,\$0,0x2
438  37a:   cf 66 00 00     xor3 \$sp,\$6,0x0
439  37e:   cd 56 00 00     xor3 \$tp,\$5,0x0
440  382:   cf 76 00 00     xor3 \$sp,\$7,0x0
441  386:   cf f6 00 02     xor3 \$sp,\$sp,0x2
442
443 0000038a <sra>:
444  38a:   24 1d           sra \$4,\$1
445  38c:   28 fd           sra \$8,\$sp
446  38e:   21 1d           sra \$1,\$1
447  390:   20 5d           sra \$0,\$5
448  392:   29 1d           sra \$9,\$1
449
450 00000394 <srl>:
451  394:   22 bc           srl \$2,\$11
452  396:   2f 7c           srl \$sp,\$7
453  398:   21 7c           srl \$1,\$7
454  39a:   23 dc           srl \$3,\$tp
455  39c:   2e 1c           srl \$gp,\$1
456
457 0000039e <sll>:
458  39e:   2b 0e           sll \$11,\$0
459  3a0:   2d 8e           sll \$tp,\$8
460  3a2:   28 9e           sll \$8,\$9
461  3a4:   2d fe           sll \$tp,\$sp
462  3a6:   2f fe           sll \$sp,\$sp
463
464 000003a8 <srai>:
465  3a8:   61 13           sra \$1,0x2
466  3aa:   6f 1b           sra \$sp,0x3
467  3ac:   6f 1b           sra \$sp,0x3
468  3ae:   66 23           sra \$6,0x4
469  3b0:   6f 1b           sra \$sp,0x3
470
471 000003b2 <srli>:
472  3b2:   6a 02           srl \$10,0x0
473  3b4:   69 1a           srl \$9,0x3
474  3b6:   66 22           srl \$6,0x4
475  3b8:   6a 12           srl \$10,0x2
476  3ba:   68 1a           srl \$8,0x3
477
478 000003bc <slli>:
479  3bc:   60 06           sll \$0,0x0
480  3be:   64 06           sll \$4,0x0
481  3c0:   6d 16           sll \$tp,0x2
482  3c2:   6b 16           sll \$11,0x2
483  3c4:   66 06           sll \$6,0x0
484
485 000003c6 <sll3>:
486  3c6:   6d 27           sll3 \$0,\$tp,0x4
487  3c8:   6e 07           sll3 \$0,\$gp,0x0
488  3ca:   68 17           sll3 \$0,\$8,0x2
489  3cc:   63 17           sll3 \$0,\$3,0x2
490  3ce:   68 07           sll3 \$0,\$8,0x0
491
492 000003d0 <fsft>:
493  3d0:   2e af           fsft \$gp,\$10
494  3d2:   2e 9f           fsft \$gp,\$9
495  3d4:   2f df           fsft \$sp,\$tp
496  3d6:   2b 3f           fsft \$11,\$3
497  3d8:   25 3f           fsft \$5,\$3
498
499 000003da <bra>:
500  3da:   b0 02           bra 3dc <bra\+0x2>
501  3dc:   bf fe           bra 3da <bra>
502  3de:   b0 02           bra 3e0 <bra\+0x6>
503  3e0:   b0 00           bra 3e0 <bra\+0x6>
504  3e2:   b0 02           bra 3e4 <beqz>
505
506 000003e4 <beqz>:
507  3e4:   a1 fe           beqz \$1,3e2 <bra\+0x8>
508  3e6:   af 02           beqz \$sp,3e8 <beqz\+0x4>
509  3e8:   a4 04           beqz \$4,3ec <beqz\+0x8>
510  3ea:   a4 00           beqz \$4,3ea <beqz\+0x6>
511  3ec:   a9 fe           beqz \$9,3ea <beqz\+0x6>
512
513 000003ee <bnez>:
514  3ee:   a8 03           bnez \$8,3f0 <bnez\+0x2>
515  3f0:   ad 03           bnez \$tp,3f2 <bnez\+0x4>
516  3f2:   ae 01           bnez \$gp,3f2 <bnez\+0x4>
517  3f4:   a6 03           bnez \$6,3f6 <bnez\+0x8>
518  3f6:   a8 fd           bnez \$8,3f2 <bnez\+0x4>
519
520 000003f8 <beqi>:
521  3f8:   ed 30 00 00     beqi \$tp,0x3,3f8 <beqi>
522  3fc:   e0 40 ff ff     beqi \$0,0x4,3fa <beqi\+0x2>
523  400:   ef 40 ff ff     beqi \$sp,0x4,3fe <beqi\+0x6>
524  404:   ed 20 00 00     beqi \$tp,0x2,404 <beqi\+0xc>
525  408:   e4 20 ff fc     beqi \$4,0x2,400 <beqi\+0x8>
526
527 0000040c <bnei>:
528  40c:   e8 14 00 00     bnei \$8,0x1,40c <bnei>
529  410:   e5 14 00 01     bnei \$5,0x1,412 <bnei\+0x6>
530  414:   e5 04 00 04     bnei \$5,0x0,41c <bnei\+0x10>
531  418:   e9 44 ff ff     bnei \$9,0x4,416 <bnei\+0xa>
532  41c:   e0 44 ff fc     bnei \$0,0x4,414 <bnei\+0x8>
533
534 00000420 <blti>:
535  420:   e7 3c 00 00     blti \$7,0x3,420 <blti>
536  424:   e1 1c 00 00     blti \$1,0x1,424 <blti\+0x4>
537  428:   e8 2c 00 01     blti \$8,0x2,42a <blti\+0xa>
538  42c:   eb 2c 00 01     blti \$11,0x2,42e <blti\+0xe>
539  430:   ef 3c ff ff     blti \$sp,0x3,42e <blti\+0xe>
540
541 00000434 <bgei>:
542  434:   e4 38 ff fc     bgei \$4,0x3,42c <blti\+0xc>
543  438:   e7 08 00 01     bgei \$7,0x0,43a <bgei\+0x6>
544  43c:   ed 18 00 00     bgei \$tp,0x1,43c <bgei\+0x8>
545  440:   e5 28 ff ff     bgei \$5,0x2,43e <bgei\+0xa>
546  444:   ec 48 ff fc     bgei \$12,0x4,43c <bgei\+0x8>
547
548 00000448 <beq>:
549  448:   e7 21 ff ff     beq \$7,\$2,446 <bgei\+0x12>
550  44c:   e1 31 ff fc     beq \$1,\$3,444 <bgei\+0x10>
551  450:   e2 01 00 01     beq \$2,\$0,452 <beq\+0xa>
552  454:   ef 81 00 01     beq \$sp,\$8,456 <beq\+0xe>
553  458:   e3 01 00 00     beq \$3,\$0,458 <beq\+0x10>
554
555 0000045c <bne>:
556  45c:   e6 35 00 00     bne \$6,\$3,45c <bne>
557  460:   ef 35 ff fc     bne \$sp,\$3,458 <beq\+0x10>
558  464:   e8 05 00 01     bne \$8,\$0,466 <bne\+0xa>
559  468:   ee f5 00 04     bne \$gp,\$sp,470 <bsr12>
560  46c:   ef 45 00 01     bne \$sp,\$4,46e <bne\+0x12>
561
562 00000470 <bsr12>:
563  470:   b0 03           bsr 472 <bsr12\+0x2>
564  472:   bf f9           bsr 46a <bne\+0xe>
565  474:   bf f1           bsr 464 <bne\+0x8>
566  476:   bf ff           bsr 474 <bsr12\+0x4>
567  478:   bf f9           bsr 470 <bsr12>
568
569 0000047a <bsr24>:
570  47a:   b0 05           bsr 47e <bsr24\+0x4>
571  47c:   bf ff           bsr 47a <bsr24>
572  47e:   bf fd           bsr 47a <bsr24>
573  480:   b0 01           bsr 480 <bsr24\+0x6>
574  482:   b0 03           bsr 484 <jmp>
575
576 00000484 <jmp>:
577  484:   10 2e           jmp \$2
578  486:   10 de           jmp \$tp
579  488:   10 5e           jmp \$5
580  48a:   10 fe           jmp \$sp
581  48c:   10 8e           jmp \$8
582
583 0000048e <jmp24>:
584  48e:   d8 28 00 00     jmp 4 <sb\+0x4>
585  492:   d8 18 00 00     jmp 2 <sb\+0x2>
586  496:   d8 08 00 00     jmp 0 <sb>
587  49a:   d8 18 00 00     jmp 2 <sb\+0x2>
588  49e:   d8 28 00 00     jmp 4 <sb\+0x4>
589
590 000004a2 <jsr>:
591  4a2:   10 ff           jsr \$sp
592  4a4:   10 df           jsr \$tp
593  4a6:   10 df           jsr \$tp
594  4a8:   10 6f           jsr \$6
595  4aa:   10 6f           jsr \$6
596
597 000004ac <ret>:
598  4ac:   70 02           ret
599
600 000004ae <repeat>:
601  4ae:   e4 09 00 01     repeat \$4,4b0 <repeat\+0x2>
602  4b2:   e8 09 00 02     repeat \$8,4b6 <repeat\+0x8>
603  4b6:   e0 09 00 04     repeat \$0,4be <repeat\+0x10>
604  4ba:   e6 09 00 01     repeat \$6,4bc <repeat\+0xe>
605  4be:   e4 09 00 01     repeat \$4,4c0 <repeat\+0x12>
606
607 000004c2 <erepeat>:
608  4c2:   e0 19 00 01     erepeat 4c4 <erepeat\+0x2>
609  4c6:   e0 19 00 00     erepeat 4c6 <erepeat\+0x4>
610  4ca:   e0 19 00 01     erepeat 4cc <erepeat\+0xa>
611  4ce:   e0 19 ff ff     erepeat 4cc <erepeat\+0xa>
612  4d2:   e0 19 00 00     erepeat 4d2 <erepeat\+0x10>
613
614 000004d6 <stc>:
615  4d6:   7d e8           stc \$tp,\$mb1
616  4d8:   7d c9           stc \$tp,\$ccfg
617  4da:   7b 89           stc \$11,\$dbg
618  4dc:   7a c9           stc \$10,\$ccfg
619  4de:   79 39           stc \$9,\$epc
620
621 000004e0 <ldc>:
622  4e0:   7d 8a           ldc \$tp,\$lo
623  4e2:   78 7b           ldc \$8,\$npc
624  4e4:   79 ca           ldc \$9,\$mb0
625  4e6:   7f 2a           ldc \$sp,\$sar
626  4e8:   79 cb           ldc \$9,\$ccfg
627
628 000004ea <di>:
629  4ea:   70 00           di
630
631 000004ec <ei>:
632  4ec:   70 10           ei
633
634 000004ee <reti>:
635  4ee:   70 12           reti
636
637 000004f0 <halt>:
638  4f0:   70 22           halt
639
640 000004f2 <swi>:
641  4f2:   70 26           swi 0x2
642  4f4:   70 06           swi 0x0
643  4f6:   70 26           swi 0x2
644  4f8:   70 36           swi 0x3
645  4fa:   70 16           swi 0x1
646
647 000004fc <break>:
648  4fc:   70 32           break
649
650 000004fe <syncm>:
651  4fe:   70 11           syncm
652
653 00000500 <stcb>:
654  500:   f5 04 00 04     stcb \$5,0x4
655  504:   f5 04 00 01     stcb \$5,0x1
656  508:   fe 04 00 00     stcb \$gp,0x0
657  50c:   ff 04 00 04     stcb \$sp,0x4
658  510:   fb 04 00 02     stcb \$11,0x2
659
660 00000514 <ldcb>:
661  514:   f2 14 00 03     ldcb \$2,0x3
662  518:   f2 14 00 04     ldcb \$2,0x4
663  51c:   f9 14 00 01     ldcb \$9,0x1
664  520:   fa 14 00 04     ldcb \$10,0x4
665  524:   f1 14 00 04     ldcb \$1,0x4
666
667 00000528 <bsetm>:
668  528:   20 a0           bsetm \(\$10\),0x0
669  52a:   20 f0           bsetm \(\$sp\),0x0
670  52c:   22 10           bsetm \(\$1\),0x2
671  52e:   24 f0           bsetm \(\$sp\),0x4
672  530:   24 80           bsetm \(\$8\),0x4
673
674 00000532 <bclrm>:
675  532:   20 51           bclrm \(\$5\),0x0
676  534:   22 51           bclrm \(\$5\),0x2
677  536:   20 81           bclrm \(\$8\),0x0
678  538:   22 91           bclrm \(\$9\),0x2
679  53a:   23 51           bclrm \(\$5\),0x3
680
681 0000053c <bnotm>:
682  53c:   24 e2           bnotm \(\$gp\),0x4
683  53e:   24 b2           bnotm \(\$11\),0x4
684  540:   20 a2           bnotm \(\$10\),0x0
685  542:   24 d2           bnotm \(\$tp\),0x4
686  544:   20 82           bnotm \(\$8\),0x0
687
688 00000546 <btstm>:
689  546:   20 e3           btstm \$0,\(\$gp\),0x0
690  548:   21 e3           btstm \$0,\(\$gp\),0x1
691  54a:   20 b3           btstm \$0,\(\$11\),0x0
692  54c:   23 e3           btstm \$0,\(\$gp\),0x3
693  54e:   22 83           btstm \$0,\(\$8\),0x2
694
695 00000550 <tas>:
696  550:   27 d4           tas \$7,\(\$tp\)
697  552:   27 c4           tas \$7,\(\$12\)
698  554:   23 84           tas \$3,\(\$8\)
699  556:   22 54           tas \$2,\(\$5\)
700  558:   26 a4           tas \$6,\(\$10\)
701
702 0000055a <cache>:
703  55a:   71 d4           cache 0x1,\(\$tp\)
704  55c:   73 c4           cache 0x3,\(\$12\)
705  55e:   73 94           cache 0x3,\(\$9\)
706  560:   74 24           cache 0x4,\(\$2\)
707  562:   74 74           cache 0x4,\(\$7\)
708
709 00000564 <mul>:
710  564:   18 e4           mul \$8,\$gp
711  566:   12 94           mul \$2,\$9
712  568:   1e f4           mul \$gp,\$sp
713  56a:   19 74           mul \$9,\$7
714  56c:   17 b4           mul \$7,\$11
715
716 0000056e <mulu>:
717  56e:   12 55           mulu \$2,\$5
718  570:   16 e5           mulu \$6,\$gp
719  572:   1e f5           mulu \$gp,\$sp
720  574:   1b e5           mulu \$11,\$gp
721  576:   13 95           mulu \$3,\$9
722
723 00000578 <mulr>:
724  578:   1c 66           mulr \$12,\$6
725  57a:   1d 86           mulr \$tp,\$8
726  57c:   17 a6           mulr \$7,\$10
727  57e:   1e 16           mulr \$gp,\$1
728  580:   10 f6           mulr \$0,\$sp
729
730 00000582 <mulru>:
731  582:   14 27           mulru \$4,\$2
732  584:   1e 17           mulru \$gp,\$1
733  586:   1f 47           mulru \$sp,\$4
734  588:   1a 67           mulru \$10,\$6
735  58a:   10 e7           mulru \$0,\$gp
736
737 0000058c <madd>:
738  58c:   f4 b1 30 04     madd \$4,\$11
739  590:   ff e1 30 04     madd \$sp,\$gp
740  594:   fe f1 30 04     madd \$gp,\$sp
741  598:   f4 d1 30 04     madd \$4,\$tp
742  59c:   f1 e1 30 04     madd \$1,\$gp
743
744 000005a0 <maddu>:
745  5a0:   f0 11 30 05     maddu \$0,\$1
746  5a4:   f7 61 30 05     maddu \$7,\$6
747  5a8:   f9 51 30 05     maddu \$9,\$5
748  5ac:   fe f1 30 05     maddu \$gp,\$sp
749  5b0:   f7 d1 30 05     maddu \$7,\$tp
750
751 000005b4 <maddr>:
752  5b4:   f6 81 30 06     maddr \$6,\$8
753  5b8:   f9 e1 30 06     maddr \$9,\$gp
754  5bc:   f8 e1 30 06     maddr \$8,\$gp
755  5c0:   f3 21 30 06     maddr \$3,\$2
756  5c4:   f1 b1 30 06     maddr \$1,\$11
757
758 000005c8 <maddru>:
759  5c8:   fa 31 30 07     maddru \$10,\$3
760  5cc:   ff c1 30 07     maddru \$sp,\$12
761  5d0:   f8 81 30 07     maddru \$8,\$8
762  5d4:   fe 31 30 07     maddru \$gp,\$3
763  5d8:   f8 f1 30 07     maddru \$8,\$sp
764
765 000005dc <div>:
766  5dc:   19 38           div \$9,\$3
767  5de:   14 e8           div \$4,\$gp
768  5e0:   12 c8           div \$2,\$12
769  5e2:   18 d8           div \$8,\$tp
770  5e4:   1d 68           div \$tp,\$6
771
772 000005e6 <divu>:
773  5e6:   19 59           divu \$9,\$5
774  5e8:   18 d9           divu \$8,\$tp
775  5ea:   10 e9           divu \$0,\$gp
776  5ec:   19 59           divu \$9,\$5
777  5ee:   10 59           divu \$0,\$5
778
779 000005f0 <dret>:
780  5f0:   70 13           dret
781
782 000005f2 <dbreak>:
783  5f2:   70 33           dbreak
784
785 000005f4 <ldz>:
786  5f4:   fe 41 00 00     ldz \$gp,\$4
787  5f8:   fa b1 00 00     ldz \$10,\$11
788  5fc:   f9 91 00 00     ldz \$9,\$9
789  600:   ff d1 00 00     ldz \$sp,\$tp
790  604:   fe 31 00 00     ldz \$gp,\$3
791
792 00000608 <abs>:
793  608:   ff 91 00 03     abs \$sp,\$9
794  60c:   f5 41 00 03     abs \$5,\$4
795  610:   fd d1 00 03     abs \$tp,\$tp
796  614:   f0 31 00 03     abs \$0,\$3
797  618:   f3 e1 00 03     abs \$3,\$gp
798
799 0000061c <ave>:
800  61c:   fb a1 00 02     ave \$11,\$10
801  620:   f8 a1 00 02     ave \$8,\$10
802  624:   fe 21 00 02     ave \$gp,\$2
803  628:   fa c1 00 02     ave \$10,\$12
804  62c:   ff 81 00 02     ave \$sp,\$8
805
806 00000630 <min>:
807  630:   f8 31 00 04     min \$8,\$3
808  634:   f7 01 00 04     min \$7,\$0
809  638:   f2 21 00 04     min \$2,\$2
810  63c:   f5 61 00 04     min \$5,\$6
811  640:   fb 51 00 04     min \$11,\$5
812
813 00000644 <max>:
814  644:   fb f1 00 05     max \$11,\$sp
815  648:   fe 01 00 05     max \$gp,\$0
816  64c:   fc f1 00 05     max \$12,\$sp
817  650:   fe 21 00 05     max \$gp,\$2
818  654:   fe f1 00 05     max \$gp,\$sp
819
820 00000658 <minu>:
821  658:   fb 81 00 06     minu \$11,\$8
822  65c:   f7 51 00 06     minu \$7,\$5
823  660:   f8 e1 00 06     minu \$8,\$gp
824  664:   fb 41 00 06     minu \$11,\$4
825  668:   f2 f1 00 06     minu \$2,\$sp
826
827 0000066c <maxu>:
828  66c:   f3 31 00 07     maxu \$3,\$3
829  670:   fd 01 00 07     maxu \$tp,\$0
830  674:   f4 81 00 07     maxu \$4,\$8
831  678:   fe 21 00 07     maxu \$gp,\$2
832  67c:   fc 81 00 07     maxu \$12,\$8
833
834 00000680 <clip>:
835  680:   fa 01 10 08     clip \$10,0x1
836  684:   ff 01 10 20     clip \$sp,0x4
837  688:   f4 01 10 18     clip \$4,0x3
838  68c:   ff 01 10 18     clip \$sp,0x3
839  690:   f1 01 10 00     clip \$1,0x0
840
841 00000694 <clipu>:
842  694:   fa 01 10 21     clipu \$10,0x4
843  698:   fd 01 10 09     clipu \$tp,0x1
844  69c:   f5 01 10 21     clipu \$5,0x4
845  6a0:   fe 01 10 01     clipu \$gp,0x0
846  6a4:   f5 01 10 09     clipu \$5,0x1
847
848 000006a8 <sadd>:
849  6a8:   f5 01 00 08     sadd \$5,\$0
850  6ac:   ff 31 00 08     sadd \$sp,\$3
851  6b0:   f0 a1 00 08     sadd \$0,\$10
852  6b4:   ff c1 00 08     sadd \$sp,\$12
853  6b8:   f4 21 00 08     sadd \$4,\$2
854
855 000006bc <ssub>:
856  6bc:   f1 a1 00 0a     ssub \$1,\$10
857  6c0:   f4 71 00 0a     ssub \$4,\$7
858  6c4:   f8 31 00 0a     ssub \$8,\$3
859  6c8:   f7 e1 00 0a     ssub \$7,\$gp
860  6cc:   fd 41 00 0a     ssub \$tp,\$4
861
862 000006d0 <saddu>:
863  6d0:   f9 e1 00 09     saddu \$9,\$gp
864  6d4:   f0 a1 00 09     saddu \$0,\$10
865  6d8:   f7 c1 00 09     saddu \$7,\$12
866  6dc:   f5 f1 00 09     saddu \$5,\$sp
867  6e0:   fd 31 00 09     saddu \$tp,\$3
868
869 000006e4 <ssubu>:
870  6e4:   ff e1 00 0b     ssubu \$sp,\$gp
871  6e8:   f0 f1 00 0b     ssubu \$0,\$sp
872  6ec:   f3 a1 00 0b     ssubu \$3,\$10
873  6f0:   ff d1 00 0b     ssubu \$sp,\$tp
874  6f4:   f2 91 00 0b     ssubu \$2,\$9
875
876 000006f8 <swcp>:
877  6f8:   33 d8           swcp \$c3,\(\$tp\)
878  6fa:   3f d8           swcp \$c15,\(\$tp\)
879  6fc:   3d 08           swcp \$c13,\(\$0\)
880  6fe:   3c c8           swcp \$c12,\(\$12\)
881  700:   39 e8           swcp \$c9,\(\$gp\)
882
883 00000702 <lwcp>:
884  702:   37 39           lwcp \$c7,\(\$3\)
885  704:   36 39           lwcp \$c6,\(\$3\)
886  706:   30 29           lwcp \$c0,\(\$2\)
887  708:   38 89           lwcp \$c8,\(\$8\)
888  70a:   3b d9           lwcp \$c11,\(\$tp\)
889
890 0000070c <smcp>:
891  70c:   3e 9a           smcp \$c14,\(\$9\)
892  70e:   32 8a           smcp \$c2,\(\$8\)
893  710:   3e fa           smcp \$c14,\(\$sp\)
894  712:   3a 8a           smcp \$c10,\(\$8\)
895  714:   32 8a           smcp \$c2,\(\$8\)
896
897 00000716 <lmcp>:
898  716:   3b 1b           lmcp \$c11,\(\$1\)
899  718:   38 8b           lmcp \$c8,\(\$8\)
900  71a:   3b db           lmcp \$c11,\(\$tp\)
901  71c:   38 0b           lmcp \$c8,\(\$0\)
902  71e:   38 eb           lmcp \$c8,\(\$gp\)
903
904 00000720 <swcpi>:
905  720:   37 00           swcpi \$c7,\(\$0\+\)
906  722:   36 e0           swcpi \$c6,\(\$gp\+\)
907  724:   3c 80           swcpi \$c12,\(\$8\+\)
908  726:   3e f0           swcpi \$c14,\(\$sp\+\)
909  728:   36 00           swcpi \$c6,\(\$0\+\)
910
911 0000072a <lwcpi>:
912  72a:   38 21           lwcpi \$c8,\(\$2\+\)
913  72c:   39 01           lwcpi \$c9,\(\$0\+\)
914  72e:   33 e1           lwcpi \$c3,\(\$gp\+\)
915  730:   3d 51           lwcpi \$c13,\(\$5\+\)
916  732:   3b e1           lwcpi \$c11,\(\$gp\+\)
917
918 00000734 <smcpi>:
919  734:   38 22           smcpi \$c8,\(\$2\+\)
920  736:   3b 92           smcpi \$c11,\(\$9\+\)
921  738:   34 32           smcpi \$c4,\(\$3\+\)
922  73a:   3e 22           smcpi \$c14,\(\$2\+\)
923  73c:   39 32           smcpi \$c9,\(\$3\+\)
924
925 0000073e <lmcpi>:
926  73e:   36 e3           lmcpi \$c6,\(\$gp\+\)
927  740:   39 53           lmcpi \$c9,\(\$5\+\)
928  742:   3a 63           lmcpi \$c10,\(\$6\+\)
929  744:   31 63           lmcpi \$c1,\(\$6\+\)
930  746:   32 83           lmcpi \$c2,\(\$8\+\)
931
932 00000748 <swcp16>:
933  748:   f0 2c ff ff     swcp \$c0,-1\(\$2\)
934  74c:   f5 ac 00 01     swcp \$c5,1\(\$10\)
935  750:   f8 cc 00 02     swcp \$c8,2\(\$12\)
936  754:   fe 1c ff ff     swcp \$c14,-1\(\$1\)
937  758:   fc 3c 00 02     swcp \$c12,2\(\$3\)
938
939 0000075c <lwcp16>:
940  75c:   f8 5d ff ff     lwcp \$c8,-1\(\$5\)
941  760:   fc fd 00 01     lwcp \$c12,1\(\$sp\)
942  764:   f1 0d 00 02     lwcp \$c1,2\(\$0\)
943  768:   f4 dd 00 01     lwcp \$c4,1\(\$tp\)
944  76c:   f6 bd 00 02     lwcp \$c6,2\(\$11\)
945
946 00000770 <smcp16>:
947  770:   f9 ae ff ff     smcp \$c9,-1\(\$10\)
948  774:   fe ee 00 01     smcp \$c14,1\(\$gp\)
949  778:   f3 fe 00 02     smcp \$c3,2\(\$sp\)
950  77c:   ff 8e ff fe     smcp \$c15,-2\(\$8\)
951  780:   fd de 00 01     smcp \$c13,1\(\$tp\)
952
953 00000784 <lmcp16>:
954  784:   f0 ff 00 01     lmcp \$c0,1\(\$sp\)
955  788:   ff 8f 00 01     lmcp \$c15,1\(\$8\)
956  78c:   f2 8f ff ff     lmcp \$c2,-1\(\$8\)
957  790:   fe 8f 00 01     lmcp \$c14,1\(\$8\)
958  794:   f1 af ff ff     lmcp \$c1,-1\(\$10\)
959
960 00000798 <sbcpa>:
961  798:   fe f5 00 02     sbcpa \$c14,\(\$sp\+\),2
962  79c:   f2 45 00 fe     sbcpa \$c2,\(\$4\+\),-2
963  7a0:   f8 15 00 00     sbcpa \$c8,\(\$1\+\),0
964  7a4:   fb 35 00 00     sbcpa \$c11,\(\$3\+\),0
965  7a8:   f9 e5 00 fe     sbcpa \$c9,\(\$gp\+\),-2
966
967 000007ac <lbcpa>:
968  7ac:   f7 25 40 fe     lbcpa \$c7,\(\$2\+\),-2
969  7b0:   fc f5 40 02     lbcpa \$c12,\(\$sp\+\),2
970  7b4:   f5 45 40 fe     lbcpa \$c5,\(\$4\+\),-2
971  7b8:   f7 45 40 fe     lbcpa \$c7,\(\$4\+\),-2
972  7bc:   f8 f5 40 00     lbcpa \$c8,\(\$sp\+\),0
973
974 000007c0 <shcpa>:
975  7c0:   f0 e5 10 00     shcpa \$c0,\(\$gp\+\),0
976  7c4:   fc f5 10 10     shcpa \$c12,\(\$sp\+\),16
977  7c8:   f1 45 10 04     shcpa \$c1,\(\$4\+\),4
978  7cc:   f5 45 10 e0     shcpa \$c5,\(\$4\+\),-32
979  7d0:   f1 f5 10 00     shcpa \$c1,\(\$sp\+\),0
980
981 000007d4 <lhcpa>:
982  7d4:   f4 45 50 00     lhcpa \$c4,\(\$4\+\),0
983  7d8:   f6 55 50 30     lhcpa \$c6,\(\$5\+\),48
984  7dc:   f3 65 50 cc     lhcpa \$c3,\(\$6\+\),-52
985  7e0:   f8 65 50 e8     lhcpa \$c8,\(\$6\+\),-24
986  7e4:   f0 95 50 00     lhcpa \$c0,\(\$9\+\),0
987
988 000007e8 <swcpa>:
989  7e8:   f1 95 20 10     swcpa \$c1,\(\$9\+\),16
990  7ec:   f7 f5 20 20     swcpa \$c7,\(\$sp\+\),32
991  7f0:   f3 c5 20 30     swcpa \$c3,\(\$12\+\),48
992  7f4:   fa 95 20 08     swcpa \$c10,\(\$9\+\),8
993  7f8:   fe 85 20 04     swcpa \$c14,\(\$8\+\),4
994
995 000007fc <lwcpa>:
996  7fc:   f6 e5 60 f8     lwcpa \$c6,\(\$gp\+\),-8
997  800:   f4 75 60 04     lwcpa \$c4,\(\$7\+\),4
998  804:   fb e5 60 f0     lwcpa \$c11,\(\$gp\+\),-16
999  808:   fa f5 60 e0     lwcpa \$c10,\(\$sp\+\),-32
1000  80c:   f2 25 60 08     lwcpa \$c2,\(\$2\+\),8
1001
1002 00000810 <smcpa>:
1003  810:   fd f5 30 f8     smcpa \$c13,\(\$sp\+\),-8
1004  814:   f6 75 30 f8     smcpa \$c6,\(\$7\+\),-8
1005  818:   f5 35 30 10     smcpa \$c5,\(\$3\+\),16
1006  81c:   fd f5 30 10     smcpa \$c13,\(\$sp\+\),16
1007  820:   f3 c5 30 30     smcpa \$c3,\(\$12\+\),48
1008
1009 00000824 <lmcpa>:
1010  824:   f9 45 70 00     lmcpa \$c9,\(\$4\+\),0
1011  828:   f3 f5 70 f0     lmcpa \$c3,\(\$sp\+\),-16
1012  82c:   ff d5 70 08     lmcpa \$c15,\(\$tp\+\),8
1013  830:   f8 85 70 f8     lmcpa \$c8,\(\$8\+\),-8
1014  834:   fa 95 70 00     lmcpa \$c10,\(\$9\+\),0
1015
1016 00000838 <sbcpm0>:
1017  838:   fa d5 08 08     sbcpm0 \$c10,\(\$tp\+\),8
1018  83c:   fd 55 08 f8     sbcpm0 \$c13,\(\$5\+\),-8
1019  840:   f4 55 08 f8     sbcpm0 \$c4,\(\$5\+\),-8
1020  844:   fa d5 08 10     sbcpm0 \$c10,\(\$tp\+\),16
1021  848:   f4 55 08 e8     sbcpm0 \$c4,\(\$5\+\),-24
1022
1023 0000084c <lbcpm0>:
1024  84c:   f0 45 48 00     lbcpm0 \$c0,\(\$4\+\),0
1025  850:   f9 75 48 f8     lbcpm0 \$c9,\(\$7\+\),-8
1026  854:   fc 85 48 18     lbcpm0 \$c12,\(\$8\+\),24
1027  858:   f8 c5 48 10     lbcpm0 \$c8,\(\$12\+\),16
1028  85c:   f7 85 48 10     lbcpm0 \$c7,\(\$8\+\),16
1029
1030 00000860 <shcpm0>:
1031  860:   f2 d5 18 02     shcpm0 \$c2,\(\$tp\+\),2
1032  864:   f7 f5 18 fe     shcpm0 \$c7,\(\$sp\+\),-2
1033  868:   f8 25 18 02     shcpm0 \$c8,\(\$2\+\),2
1034  86c:   fd 55 18 00     shcpm0 \$c13,\(\$5\+\),0
1035  870:   f3 e5 18 08     shcpm0 \$c3,\(\$gp\+\),8
1036
1037 00000874 <lhcpm0>:
1038  874:   f7 45 58 08     lhcpm0 \$c7,\(\$4\+\),8
1039  878:   f3 35 58 fe     lhcpm0 \$c3,\(\$3\+\),-2
1040  87c:   f3 15 58 00     lhcpm0 \$c3,\(\$1\+\),0
1041  880:   f2 e5 58 00     lhcpm0 \$c2,\(\$gp\+\),0
1042  884:   fc 65 58 02     lhcpm0 \$c12,\(\$6\+\),2
1043
1044 00000888 <swcpm0>:
1045  888:   f8 85 28 20     swcpm0 \$c8,\(\$8\+\),32
1046  88c:   f9 f5 28 00     swcpm0 \$c9,\(\$sp\+\),0
1047  890:   f9 25 28 f0     swcpm0 \$c9,\(\$2\+\),-16
1048  894:   f0 e5 28 30     swcpm0 \$c0,\(\$gp\+\),48
1049  898:   ff 15 28 08     swcpm0 \$c15,\(\$1\+\),8
1050
1051 0000089c <lwcpm0>:
1052  89c:   fe a5 68 fc     lwcpm0 \$c14,\(\$10\+\),-4
1053  8a0:   fb f5 68 fc     lwcpm0 \$c11,\(\$sp\+\),-4
1054  8a4:   f5 75 68 f8     lwcpm0 \$c5,\(\$7\+\),-8
1055  8a8:   f2 c5 68 20     lwcpm0 \$c2,\(\$12\+\),32
1056  8ac:   f2 e5 68 10     lwcpm0 \$c2,\(\$gp\+\),16
1057
1058 000008b0 <smcpm0>:
1059  8b0:   f1 c5 38 08     smcpm0 \$c1,\(\$12\+\),8
1060  8b4:   f8 45 38 f0     smcpm0 \$c8,\(\$4\+\),-16
1061  8b8:   fa b5 38 00     smcpm0 \$c10,\(\$11\+\),0
1062  8bc:   f1 35 38 f0     smcpm0 \$c1,\(\$3\+\),-16
1063  8c0:   fb f5 38 f8     smcpm0 \$c11,\(\$sp\+\),-8
1064
1065 000008c4 <lmcpm0>:
1066  8c4:   fe a5 78 00     lmcpm0 \$c14,\(\$10\+\),0
1067  8c8:   f6 f5 78 f0     lmcpm0 \$c6,\(\$sp\+\),-16
1068  8cc:   fd 15 78 08     lmcpm0 \$c13,\(\$1\+\),8
1069  8d0:   fa d5 78 e8     lmcpm0 \$c10,\(\$tp\+\),-24
1070  8d4:   f7 e5 78 e8     lmcpm0 \$c7,\(\$gp\+\),-24
1071
1072 000008d8 <sbcpm1>:
1073  8d8:   f9 85 0c 00     sbcpm1 \$c9,\(\$8\+\),0
1074  8dc:   f7 c5 0c e8     sbcpm1 \$c7,\(\$12\+\),-24
1075  8e0:   ff 55 0c e8     sbcpm1 \$c15,\(\$5\+\),-24
1076  8e4:   f5 d5 0c 10     sbcpm1 \$c5,\(\$tp\+\),16
1077  8e8:   f6 15 0c 80     sbcpm1 \$c6,\(\$1\+\),-128
1078
1079 000008ec <lbcpm1>:
1080  8ec:   f6 e5 4c 02     lbcpm1 \$c6,\(\$gp\+\),2
1081  8f0:   f7 d5 4c fe     lbcpm1 \$c7,\(\$tp\+\),-2
1082  8f4:   f4 d5 4c 01     lbcpm1 \$c4,\(\$tp\+\),1
1083  8f8:   fc 25 4c fe     lbcpm1 \$c12,\(\$2\+\),-2
1084  8fc:   fb 75 4c 01     lbcpm1 \$c11,\(\$7\+\),1
1085
1086 00000900 <shcpm1>:
1087  900:   f4 85 1c 18     shcpm1 \$c4,\(\$8\+\),24
1088  904:   fb 65 1c f0     shcpm1 \$c11,\(\$6\+\),-16
1089  908:   f7 85 1c 08     shcpm1 \$c7,\(\$8\+\),8
1090  90c:   f5 c5 1c 10     shcpm1 \$c5,\(\$12\+\),16
1091  910:   f0 85 1c e0     shcpm1 \$c0,\(\$8\+\),-32
1092
1093 00000914 <lhcpm1>:
1094  914:   fb 05 5c 00     lhcpm1 \$c11,\(\$0\+\),0
1095  918:   f7 d5 5c fe     lhcpm1 \$c7,\(\$tp\+\),-2
1096  91c:   fa 85 5c 08     lhcpm1 \$c10,\(\$8\+\),8
1097  920:   f3 d5 5c 00     lhcpm1 \$c3,\(\$tp\+\),0
1098  924:   f9 65 5c 02     lhcpm1 \$c9,\(\$6\+\),2
1099
1100 00000928 <swcpm1>:
1101  928:   f9 85 2c 18     swcpm1 \$c9,\(\$8\+\),24
1102  92c:   f9 e5 2c 00     swcpm1 \$c9,\(\$gp\+\),0
1103  930:   f9 85 2c 10     swcpm1 \$c9,\(\$8\+\),16
1104  934:   fe 15 2c 00     swcpm1 \$c14,\(\$1\+\),0
1105  938:   f2 f5 2c 08     swcpm1 \$c2,\(\$sp\+\),8
1106
1107 0000093c <lwcpm1>:
1108  93c:   f8 85 6c 00     lwcpm1 \$c8,\(\$8\+\),0
1109  940:   f3 e5 6c f0     lwcpm1 \$c3,\(\$gp\+\),-16
1110  944:   f7 65 6c f8     lwcpm1 \$c7,\(\$6\+\),-8
1111  948:   fe 85 6c e8     lwcpm1 \$c14,\(\$8\+\),-24
1112  94c:   f3 85 6c 18     lwcpm1 \$c3,\(\$8\+\),24
1113
1114 00000950 <smcpm1>:
1115  950:   fa 45 3c 00     smcpm1 \$c10,\(\$4\+\),0
1116  954:   f6 f5 3c f0     smcpm1 \$c6,\(\$sp\+\),-16
1117  958:   fd 75 3c e8     smcpm1 \$c13,\(\$7\+\),-24
1118  95c:   f3 e5 3c f8     smcpm1 \$c3,\(\$gp\+\),-8
1119  960:   f0 25 3c 08     smcpm1 \$c0,\(\$2\+\),8
1120
1121 00000964 <lmcpm1>:
1122  964:   fc 15 7c 00     lmcpm1 \$c12,\(\$1\+\),0
1123  968:   f0 65 7c 08     lmcpm1 \$c0,\(\$6\+\),8
1124  96c:   f6 25 7c f8     lmcpm1 \$c6,\(\$2\+\),-8
1125  970:   fc e5 7c f0     lmcpm1 \$c12,\(\$gp\+\),-16
1126  974:   fe f5 7c 30     lmcpm1 \$c14,\(\$sp\+\),48
1127
1128 00000... <bcpeq>:
1129  ...:   d8 44 00 00     bcpeq 0x4,... <bcpeq>
1130  ...:   d8 04 ff ff     bcpeq 0x0,... <bcpeq\+0x2>
1131  ...:   d8 44 ff ff     bcpeq 0x4,... <bcpeq\+0x6>
1132  ...:   d8 14 00 01     bcpeq 0x1,... <bcpeq\+0xe>
1133  ...:   d8 24 00 01     bcpeq 0x2,... <bcpeq\+0x12>
1134
1135 00000... <bcpne>:
1136  ...:   d8 25 00 00     bcpne 0x2,... <bcpne>
1137  ...:   d8 45 00 00     bcpne 0x4,... <bcpne\+0x4>
1138  ...:   d8 15 00 00     bcpne 0x1,... <bcpne\+0x8>
1139  ...:   d8 45 00 00     bcpne 0x4,... <bcpne\+0xc>
1140  ...:   d8 15 00 01     bcpne 0x1,... <bcpne\+0x12>
1141
1142 00000... <bcpat>:
1143  ...:   d8 16 ff ff     bcpat 0x1,... <bcpne\+0x12>
1144  ...:   d8 06 00 01     bcpat 0x0,... <bcpat\+0x6>
1145  ...:   d8 06 ff ff     bcpat 0x0,... <bcpat\+0x6>
1146  ...:   d8 26 00 00     bcpat 0x2,... <bcpat\+0xc>
1147  ...:   d8 16 ff ff     bcpat 0x1,... <bcpat\+0xe>
1148
1149 00000... <bcpaf>:
1150  ...:   d8 47 00 00     bcpaf 0x4,... <bcpaf>
1151  ...:   d8 37 00 00     bcpaf 0x3,... <bcpaf\+0x4>
1152  ...:   d8 47 00 00     bcpaf 0x4,... <bcpaf\+0x8>
1153  ...:   d8 17 00 01     bcpaf 0x1,... <bcpaf\+0xe>
1154  ...:   d8 47 00 01     bcpaf 0x4,... <bcpaf\+0x12>
1155
1156 00000... <synccp>:
1157  ...:   70 21           synccp
1158
1159 00000... <jsrv>:
1160  ...:   18 bf           jsrv \$11
1161  ...:   18 5f           jsrv \$5
1162  ...:   18 af           jsrv \$10
1163  ...:   18 cf           jsrv \$12
1164  ...:   18 af           jsrv \$10
1165
1166 00000... <bsrv>:
1167  ...:   df fb ff ff     bsrv ... <jsrv\+0x8>
1168  ...:   df fb ff ff     bsrv ... <bsrv\+0x2>
1169  ...:   df fb ff ff     bsrv ... <bsrv\+0x6>
1170  ...:   d8 1b 00 00     bsrv ... <bsrv\+0xe>
1171  ...:   d8 0b 00 00     bsrv ... <bsrv\+0x10>
1172
1173 00000... <case106341>:
1174  ...:   7a 78           stc \$10,\$hi
1175  ...:   70 8a           ldc \$0,\$lo
1176
1177 00000... <case106821>:
1178  ...:   00 08           sb \$0,\(\$0\)
1179  ...:   00 09           sh \$0,\(\$0\)
1180  ...:   00 0a           sw \$0,\(\$0\)
1181  ...:   00 0c           lb \$0,\(\$0\)
1182  ...:   00 0d           lh \$0,\(\$0\)
1183  ...:   00 0e           lw \$0,\(\$0\)
1184  ...:   00 0b           lbu \$0,\(\$0\)
1185  ...:   00 0f           lhu \$0,\(\$0\)
1186  ...:   00 08           sb \$0,\(\$0\)
1187  ...:   00 08           sb \$0,\(\$0\)
1188  ...:   00 08           sb \$0,\(\$0\)
1189  ...:   00 08           sb \$0,\(\$0\)
1190  ...:   00 08           sb \$0,\(\$0\)
1191  ...:   00 08           sb \$0,\(\$0\)
1192  ...:   00 09           sh \$0,\(\$0\)
1193  ...:   00 09           sh \$0,\(\$0\)
1194  ...:   00 09           sh \$0,\(\$0\)
1195  ...:   00 09           sh \$0,\(\$0\)
1196  ...:   00 09           sh \$0,\(\$0\)
1197  ...:   00 09           sh \$0,\(\$0\)
1198  ...:   00 0a           sw \$0,\(\$0\)
1199  ...:   00 0a           sw \$0,\(\$0\)
1200  ...:   00 0a           sw \$0,\(\$0\)
1201  ...:   00 0a           sw \$0,\(\$0\)
1202  ...:   00 0a           sw \$0,\(\$0\)
1203  ...:   00 0a           sw \$0,\(\$0\)
1204  ...:   00 0c           lb \$0,\(\$0\)
1205  ...:   00 0c           lb \$0,\(\$0\)
1206  ...:   00 0c           lb \$0,\(\$0\)
1207  ...:   00 0c           lb \$0,\(\$0\)
1208  ...:   00 0c           lb \$0,\(\$0\)
1209  ...:   00 0c           lb \$0,\(\$0\)
1210  ...:   00 0d           lh \$0,\(\$0\)
1211  ...:   00 0d           lh \$0,\(\$0\)
1212  ...:   00 0d           lh \$0,\(\$0\)
1213  ...:   00 0d           lh \$0,\(\$0\)
1214  ...:   00 0d           lh \$0,\(\$0\)
1215  ...:   00 0d           lh \$0,\(\$0\)
1216  ...:   00 0e           lw \$0,\(\$0\)
1217  ...:   00 0e           lw \$0,\(\$0\)
1218  ...:   00 0e           lw \$0,\(\$0\)
1219  ...:   00 0e           lw \$0,\(\$0\)
1220  ...:   00 0e           lw \$0,\(\$0\)
1221  ...:   00 0e           lw \$0,\(\$0\)
1222  ...:   00 0b           lbu \$0,\(\$0\)
1223  ...:   00 0b           lbu \$0,\(\$0\)
1224  ...:   00 0b           lbu \$0,\(\$0\)
1225  ...:   00 0b           lbu \$0,\(\$0\)
1226  ...:   00 0b           lbu \$0,\(\$0\)
1227  ...:   00 0b           lbu \$0,\(\$0\)
1228  ...:   00 0f           lhu \$0,\(\$0\)
1229  ...:   00 0f           lhu \$0,\(\$0\)
1230  ...:   00 0f           lhu \$0,\(\$0\)
1231  ...:   00 0f           lhu \$0,\(\$0\)
1232  ...:   00 0f           lhu \$0,\(\$0\)
1233  ...:   00 0f           lhu \$0,\(\$0\)
1234  ...:   c0 08 00 01     sb \$0,1\(\$0\)
1235  ...:   c0 08 00 01     sb \$0,1\(\$0\)
1236  ...:   c0 08 00 00     sb \$0,0\(\$0\)
1237  ...:   c0 08 00 00     sb \$0,0\(\$0\)
1238  ...:   c0 08 00 01     sb \$0,1\(\$0\)
1239  ...:   c0 08 00 01     sb \$0,1\(\$0\)
1240  ...:   c0 09 00 01     sh \$0,1\(\$0\)
1241  ...:   c0 09 00 01     sh \$0,1\(\$0\)
1242  ...:   c0 09 00 00     sh \$0,0\(\$0\)
1243  ...:   c0 09 00 00     sh \$0,0\(\$0\)
1244  ...:   c0 09 00 01     sh \$0,1\(\$0\)
1245  ...:   c0 09 00 01     sh \$0,1\(\$0\)
1246  ...:   c0 0a 00 01     sw \$0,1\(\$0\)
1247  ...:   c0 0a 00 01     sw \$0,1\(\$0\)
1248  ...:   c0 0a 00 00     sw \$0,0\(\$0\)
1249  ...:   c0 0a 00 00     sw \$0,0\(\$0\)
1250  ...:   c0 0a 00 01     sw \$0,1\(\$0\)
1251  ...:   c0 0a 00 01     sw \$0,1\(\$0\)
1252  ...:   c0 0c 00 01     lb \$0,1\(\$0\)
1253  ...:   c0 0c 00 01     lb \$0,1\(\$0\)
1254  ...:   c0 0c 00 00     lb \$0,0\(\$0\)
1255  ...:   c0 0c 00 00     lb \$0,0\(\$0\)
1256  ...:   c0 0c 00 01     lb \$0,1\(\$0\)
1257  ...:   c0 0c 00 01     lb \$0,1\(\$0\)
1258  ...:   c0 0d 00 01     lh \$0,1\(\$0\)
1259  ...:   c0 0d 00 01     lh \$0,1\(\$0\)
1260  ...:   c0 0d 00 00     lh \$0,0\(\$0\)
1261  ...:   c0 0d 00 00     lh \$0,0\(\$0\)
1262  ...:   c0 0d 00 01     lh \$0,1\(\$0\)
1263  ...:   c0 0d 00 01     lh \$0,1\(\$0\)
1264  ...:   c0 0e 00 01     lw \$0,1\(\$0\)
1265  ...:   c0 0e 00 01     lw \$0,1\(\$0\)
1266  ...:   c0 0e 00 00     lw \$0,0\(\$0\)
1267  ...:   c0 0e 00 00     lw \$0,0\(\$0\)
1268  ...:   c0 0e 00 01     lw \$0,1\(\$0\)
1269  ...:   c0 0e 00 01     lw \$0,1\(\$0\)
1270  ...:   c0 0b 00 01     lbu \$0,1\(\$0\)
1271  ...:   c0 0b 00 01     lbu \$0,1\(\$0\)
1272  ...:   c0 0b 00 00     lbu \$0,0\(\$0\)
1273  ...:   c0 0b 00 00     lbu \$0,0\(\$0\)
1274  ...:   c0 0b 00 01     lbu \$0,1\(\$0\)
1275  ...:   c0 0b 00 01     lbu \$0,1\(\$0\)
1276  ...:   c0 0f 00 01     lhu \$0,1\(\$0\)
1277  ...:   c0 0f 00 01     lhu \$0,1\(\$0\)
1278  ...:   c0 0f 00 00     lhu \$0,0\(\$0\)
1279  ...:   c0 0f 00 00     lhu \$0,0\(\$0\)
1280  ...:   c0 0f 00 01     lhu \$0,1\(\$0\)
1281  ...:   c0 0f 00 01     lhu \$0,1\(\$0\)
1282  ...:   c0 08 00 00     sb \$0,0\(\$0\)
1283                         ...: R_MEP_16   .text\+0x...
1284  ...:   c0 08 00 00     sb \$0,0\(\$0\)
1285                         ...: R_MEP_LOW16        .text\+0x...
1286  ...:   c0 08 00 00     sb \$0,0\(\$0\)
1287                         ...: R_MEP_HI16S        .text\+0x...
1288  ...:   c0 08 00 00     sb \$0,0\(\$0\)
1289                         ...: R_MEP_HI16U        .text\+0x...
1290  ...:   c0 09 00 00     sh \$0,0\(\$0\)
1291                         ...: R_MEP_16   .text\+0x...
1292  ...:   c0 09 00 00     sh \$0,0\(\$0\)
1293                         ...: R_MEP_LOW16        .text\+0x...
1294  ...:   c0 09 00 00     sh \$0,0\(\$0\)
1295                         ...: R_MEP_HI16S        .text\+0x...
1296  ...:   c0 09 00 00     sh \$0,0\(\$0\)
1297                         ...: R_MEP_HI16U        .text\+0x...
1298  ...:   c0 0a 00 00     sw \$0,0\(\$0\)
1299                         ...: R_MEP_16   .text\+0x...
1300  ...:   c0 0a 00 00     sw \$0,0\(\$0\)
1301                         ...: R_MEP_LOW16        .text\+0x...
1302  ...:   c0 0a 00 00     sw \$0,0\(\$0\)
1303                         ...: R_MEP_HI16S        .text\+0x...
1304  ...:   c0 0a 00 00     sw \$0,0\(\$0\)
1305                         ...: R_MEP_HI16U        .text\+0x...
1306  ...:   c0 0c 00 00     lb \$0,0\(\$0\)
1307                         ...: R_MEP_16   .text\+0x...
1308  ...:   c0 0c 00 00     lb \$0,0\(\$0\)
1309                         ...: R_MEP_LOW16        .text\+0x...
1310  ...:   c0 0c 00 00     lb \$0,0\(\$0\)
1311                         ...: R_MEP_HI16S        .text\+0x...
1312  ...:   c0 0c 00 00     lb \$0,0\(\$0\)
1313                         ...: R_MEP_HI16U        .text\+0x...
1314  ...:   c0 0d 00 00     lh \$0,0\(\$0\)
1315                         ...: R_MEP_16   .text\+0x...
1316  ...:   c0 0d 00 00     lh \$0,0\(\$0\)
1317                         ...: R_MEP_LOW16        .text\+0x...
1318  ...:   c0 0d 00 00     lh \$0,0\(\$0\)
1319                         ...: R_MEP_HI16S        .text\+0x...
1320  ...:   c0 0d 00 00     lh \$0,0\(\$0\)
1321                         ...: R_MEP_HI16U        .text\+0x...
1322  ...:   c0 0e 00 00     lw \$0,0\(\$0\)
1323                         ...: R_MEP_16   .text\+0x...
1324  ...:   c0 0e 00 00     lw \$0,0\(\$0\)
1325                         ...: R_MEP_LOW16        .text\+0x...
1326  ...:   c0 0e 00 00     lw \$0,0\(\$0\)
1327                         ...: R_MEP_HI16S        .text\+0x...
1328  ...:   c0 0e 00 00     lw \$0,0\(\$0\)
1329                         ...: R_MEP_HI16U        .text\+0x...
1330  ...:   c0 0b 00 00     lbu \$0,0\(\$0\)
1331                         ...: R_MEP_16   .text\+0x...
1332  ...:   c0 0b 00 00     lbu \$0,0\(\$0\)
1333                         ...: R_MEP_LOW16        .text\+0x...
1334  ...:   c0 0b 00 00     lbu \$0,0\(\$0\)
1335                         ...: R_MEP_HI16S        .text\+0x...
1336  ...:   c0 0b 00 00     lbu \$0,0\(\$0\)
1337                         ...: R_MEP_HI16U        .text\+0x...
1338  ...:   c0 0f 00 00     lhu \$0,0\(\$0\)
1339                         ...: R_MEP_16   .text\+0x...
1340  ...:   c0 0f 00 00     lhu \$0,0\(\$0\)
1341                         ...: R_MEP_LOW16        .text\+0x...
1342  ...:   c0 0f 00 00     lhu \$0,0\(\$0\)
1343                         ...: R_MEP_HI16S        .text\+0x...
1344  ...:   c0 0f 00 00     lhu \$0,0\(\$0\)
1345                         ...: R_MEP_HI16U        .text\+0x...