OSDN Git Service

9fa492c6ce48486eefc9b76a726c9942ee279b34
[fpga-leon-mjpeg/leon-mjpeg.git] / grlib-gpl-1.0.22-b4095 / lib / kuri / mjpeg / mjpeg.vhd.bak
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4
5 library grlib;
6 use grlib.stdlib.all;
7 use grlib.amba.all;
8 use grlib.devices.all;
9
10 library techmap;
11 use techmap.gencomp.all;
12
13 package mjpeg is
14
15 component upycc
16   generic (
17     memtech : integer := DEFMEMTECH;
18 --    fifo_depth : integer := 32;
19     shindex : integer := 0;
20     haddr  : integer := 0;
21     hmask  : integer := 16#fff#;
22     hirq   : integer := 0;      
23     pindex : integer := 0;
24     paddr  : integer := 0;
25     pmask  : integer := 16#fff#;
26     mhindex : integer := 0;
27     chprot : integer := 3);
28    port (
29      rst    : in std_ulogic;
30      clk   : in std_ulogic;
31      ahbmi : in ahb_mst_in_type;
32      ahbmo : out ahb_mst_out_type;
33      ahbsi : in ahb_slv_in_type;
34      ahbso : out ahb_slv_out_type;
35      apbi  : in apb_slv_in_type;
36      apbo  : out apb_slv_out_type
37    );   
38 end component;
39
40 component yccambaif
41      generic (
42       memtech : integer := DEFMEMTECH;
43       shindex : integer := 0;
44       haddr  : integer := 0;
45       hmask  : integer := 16#fff#;
46       hirq   : integer := 0;      
47       pindex : integer := 0;
48       paddr  : integer := 0;
49       pmask  : integer := 16#fff#);
50    port (
51       rst   : in std_ulogic;
52       clk   : in std_ulogic;
53       ahbsi : in ahb_slv_in_type;
54       ahbso : out ahb_slv_out_type;
55       apbi  : in apb_slv_in_type;
56       apbo  : out apb_slv_out_type;
57       kready : in std_logic;
58       kstrobe : out std_logic;
59       kdata   : out std_logic_vector(7 downto 0);
60       samp_fact : out std_logic;
61       error : in std_logic;
62       xmcumax : out std_logic_vector(5 downto 0);
63       ymcumax : out std_logic_vector(4 downto 0);
64       incaddy  : in std_logic_vector(15 downto 0);
65       incaddmcux : in std_logic_vector(15 downto 0);
66       incaddmcuy : out std_logic_vector(10 downto 0);
67       fbstartadd : out std_logic_vector(31 downto 0);
68       startgen : out std_logic
69    );
70 end component;
71
72 component yccmemcont
73    generic (
74       memtech : integer := DEFMEMTECH);
75    port (
76       rst   : in std_ulogic;
77       clk   : in std_ulogic;
78       kready1  : out std_logic;
79       kstrobe1 : in std_logic;
80       kdata1   : in std_logic_vector(7 downto 0);
81       kready2  : in std_logic;
82       kstrobe2 : out std_logic;
83       kdata2   : out std_logic_vector(23 downto 0);
84       samp_fact : in std_logic;
85       error     : out std_logic
86    );
87 end component;
88
89 component yccrgb
90    generic (
91       memtech : integer := DEFMEMTECH;
92       hirq   : integer := 0;       
93       mhindex : integer := 0;
94       chprot : integer := 3);
95    port (
96       rst   : in std_ulogic;
97       clk   : in std_ulogic;
98       ahbmi : in ahb_mst_in_type;
99       ahbmo : out ahb_mst_out_type;
100       kready  : out std_logic;
101       kstrobe : in std_logic;
102       kdata   : in std_logic_vector(23 downto 0);
103       xmcumax : in std_logic_vector(5 downto 0);
104       ymcumax : in std_logic_vector(4 downto 0);
105       incaddy  : in std_logic_vector(15 downto 0);
106       incaddmcux : in std_logic_vector(15 downto 0);      
107       incaddmcuy : in std_logic_vector(10 downto 0);
108       fbstartadd : in std_logic_vector(31 downto 0);
109       startgen   : in std_logic
110    );
111 end component;
112
113 component yccrgbs 
114    generic (
115       memtech : integer := DEFMEMTECH;
116       fifo_depth : integer := 32;
117       shindex : integer := 0;
118       chprot : integer := 3;
119       haddr  : integer := 0;
120       hmask  : integer := 16#fff#;
121       pindex : integer := 0;
122       paddr  : integer := 0;
123       pmask  : integer := 16#fff#;
124       mhindex : integer := 0;
125       hirq   : integer := 0;
126       burst_num : integer := 16);
127    port (
128       rst   : in std_ulogic;
129       clk   : in std_ulogic;
130       ahbmi : in ahb_mst_in_type;
131       ahbmo : out ahb_mst_out_type;
132       ahbsi : in ahb_slv_in_type;
133       ahbso : out ahb_slv_out_type;
134       apbi  : in apb_slv_in_type;
135       apbo  : out apb_slv_out_type
136    );
137 end component;   
138     
139 end;