2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
11 use techmap.gencomp.all;
17 memtech : integer := DEFMEMTECH;
18 -- fifo_depth : integer := 32;
19 shindex : integer := 0;
21 hmask : integer := 16#fff#;
23 pindex : integer := 0;
25 pmask : integer := 16#fff#;
26 mhindex : integer := 0;
27 chprot : integer := 3);
31 ahbmi : in ahb_mst_in_type;
32 ahbmo : out ahb_mst_out_type;
33 ahbsi : in ahb_slv_in_type;
34 ahbso : out ahb_slv_out_type;
35 apbi : in apb_slv_in_type;
36 apbo : out apb_slv_out_type
42 memtech : integer := DEFMEMTECH;
43 shindex : integer := 0;
45 hmask : integer := 16#fff#;
47 pindex : integer := 0;
49 pmask : integer := 16#fff#);
53 ahbsi : in ahb_slv_in_type;
54 ahbso : out ahb_slv_out_type;
55 apbi : in apb_slv_in_type;
56 apbo : out apb_slv_out_type;
57 kready : in std_logic;
58 kstrobe : out std_logic;
59 kdata : out std_logic_vector(7 downto 0);
60 samp_fact : out std_logic;
62 xmcumax : out std_logic_vector(5 downto 0);
63 ymcumax : out std_logic_vector(4 downto 0);
64 incaddy : in std_logic_vector(15 downto 0);
65 incaddmcux : in std_logic_vector(15 downto 0);
66 incaddmcuy : out std_logic_vector(10 downto 0);
67 fbstartadd : out std_logic_vector(31 downto 0);
68 startgen : out std_logic
74 memtech : integer := DEFMEMTECH);
78 kready1 : out std_logic;
79 kstrobe1 : in std_logic;
80 kdata1 : in std_logic_vector(7 downto 0);
81 kready2 : in std_logic;
82 kstrobe2 : out std_logic;
83 kdata2 : out std_logic_vector(23 downto 0);
84 samp_fact : in std_logic;
91 memtech : integer := DEFMEMTECH;
93 mhindex : integer := 0;
94 chprot : integer := 3);
98 ahbmi : in ahb_mst_in_type;
99 ahbmo : out ahb_mst_out_type;
100 kready : out std_logic;
101 kstrobe : in std_logic;
102 kdata : in std_logic_vector(23 downto 0);
103 xmcumax : in std_logic_vector(5 downto 0);
104 ymcumax : in std_logic_vector(4 downto 0);
105 incaddy : in std_logic_vector(15 downto 0);
106 incaddmcux : in std_logic_vector(15 downto 0);
107 incaddmcuy : in std_logic_vector(10 downto 0);
108 fbstartadd : in std_logic_vector(31 downto 0);
109 startgen : in std_logic
115 memtech : integer := DEFMEMTECH;
116 fifo_depth : integer := 32;
117 shindex : integer := 0;
118 chprot : integer := 3;
119 haddr : integer := 0;
120 hmask : integer := 16#fff#;
121 pindex : integer := 0;
122 paddr : integer := 0;
123 pmask : integer := 16#fff#;
124 mhindex : integer := 0;
126 burst_num : integer := 16);
130 ahbmi : in ahb_mst_in_type;
131 ahbmo : out ahb_mst_out_type;
132 ahbsi : in ahb_slv_in_type;
133 ahbso : out ahb_slv_out_type;
134 apbi : in apb_slv_in_type;
135 apbo : out apb_slv_out_type