3 use IEEE.std_logic_1164.all;
4 --use ieee.std_logic_unsigned.all;
5 use ieee.std_logic_arith.all;
9 entity testbench_adc is
12 architecture stimulus of testbench_adc is
14 port ( a, b : in std_logic_vector (7 downto 0);
15 sum : out std_logic_vector (7 downto 0);
18 n, v, z : out std_logic
21 signal aa, bb, ssum: std_logic_vector (7 downto 0);
22 signal ccin, ccout, nn, vv, zz : std_logic;
24 dut : adc port map (aa, bb, ssum, ccin, ccout, nn, vv, zz);
28 variable out_line : line;
29 variable i,j : integer;
31 for i in 0 to 255 loop
32 aa <= conv_std_logic_vector(i, 8);
34 for j in 0 to 255 loop
36 bb <= conv_std_logic_vector(j, 8);
38 write(out_line, string'("test "));
40 write(out_line, string'(", "));
42 writeline(output, out_line);