2 use ieee.std_logic_1164.all;
5 generic (dsize : integer := 8);
6 port ( clk, en : in std_logic;
7 d : in std_logic_vector (dsize - 1 downto 0);
8 q : out std_logic_vector (dsize - 1 downto 0)
12 architecture rtl of cpu_reg is
16 if (clk'event and clk = '1') then