/* Produced by NSL Core(version=20110302), IP ARCH, Inc. Sun Dec 25 19:48:57 2011 Licensed to :LIMITED EVALUATION USER: */ module font_rom ( p_reset , m_clock , i_code_num , o_font_data , fi_font_read ); input p_reset, m_clock; input [7:0] i_code_num; output [63:0] o_font_data; input fi_font_read; reg [7:0] fmem [0:2047]; wire [7:0] _net_0; wire [7:0] _net_1; wire [7:0] _net_2; wire [7:0] _net_3; wire [7:0] _net_4; wire [7:0] _net_5; wire [7:0] _net_6; wire [7:0] _net_7; assign _net_0 = fmem[{i_code_num,3'b000}]; assign _net_1 = fmem[{i_code_num,3'b001}]; assign _net_2 = fmem[{i_code_num,3'b010}]; assign _net_3 = fmem[{i_code_num,3'b011}]; assign _net_4 = fmem[{i_code_num,3'b100}]; assign _net_5 = fmem[{i_code_num,3'b101}]; assign _net_6 = fmem[{i_code_num,3'b110}]; assign _net_7 = fmem[{i_code_num,3'b111}]; assign o_font_data = {_net_7,_net_6,_net_5,_net_4,_net_3,_net_2,_net_1,_net_0}; initial begin fmem[0] <= 8'b00000000; fmem[1] <= 8'b00000000; fmem[2] <= 8'b00000000; fmem[3] <= 8'b00000000; fmem[4] <= 8'b00000000; fmem[5] <= 8'b00000000; fmem[6] <= 8'b00000000; fmem[7] <= 8'b00000000; fmem[8] <= 8'b00111100; fmem[9] <= 8'b01000010; fmem[10] <= 8'b00101101; fmem[11] <= 8'b01100001; fmem[12] <= 8'b01100001; fmem[13] <= 8'b00101101; fmem[14] <= 8'b01000010; fmem[15] <= 8'b00111100; fmem[16] <= 8'b00111100; fmem[17] <= 8'b01111110; fmem[18] <= 8'b01010011; fmem[19] <= 8'b00011111; fmem[20] <= 8'b00011111; fmem[21] <= 8'b01010011; fmem[22] <= 8'b01111110; fmem[23] <= 8'b00111100; fmem[24] <= 8'b00000000; fmem[25] <= 8'b00001110; fmem[26] <= 8'b00011111; fmem[27] <= 8'b00111111; fmem[28] <= 8'b01111110; fmem[29] <= 8'b00111111; fmem[30] <= 8'b00011111; fmem[31] <= 8'b00001110; fmem[32] <= 8'b00000000; fmem[33] <= 8'b00001000; fmem[34] <= 8'b00011100; fmem[35] <= 8'b00111110; fmem[36] <= 8'b01111111; fmem[37] <= 8'b00111110; fmem[38] <= 8'b00011100; fmem[39] <= 8'b00001000; fmem[40] <= 8'b00000000; fmem[41] <= 8'b00011000; fmem[42] <= 8'b00111010; fmem[43] <= 8'b00111111; fmem[44] <= 8'b01011111; fmem[45] <= 8'b00111111; fmem[46] <= 8'b00111010; fmem[47] <= 8'b00011000; fmem[48] <= 8'b00000000; fmem[49] <= 8'b00011000; fmem[50] <= 8'b00111100; fmem[51] <= 8'b00111110; fmem[52] <= 8'b01011111; fmem[53] <= 8'b00111110; fmem[54] <= 8'b00111100; fmem[55] <= 8'b00011000; fmem[56] <= 8'b00000000; fmem[57] <= 8'b00000000; fmem[58] <= 8'b00011000; fmem[59] <= 8'b00111100; fmem[60] <= 8'b00111100; fmem[61] <= 8'b00011000; fmem[62] <= 8'b00000000; fmem[63] <= 8'b00000000; fmem[64] <= 8'b01111111; fmem[65] <= 8'b01111111; fmem[66] <= 8'b01100111; fmem[67] <= 8'b01000011; fmem[68] <= 8'b01000011; fmem[69] <= 8'b01100111; fmem[70] <= 8'b01111111; fmem[71] <= 8'b01111111; fmem[72] <= 8'b00000000; fmem[73] <= 8'b00011000; fmem[74] <= 8'b00111100; fmem[75] <= 8'b00100100; fmem[76] <= 8'b00100100; fmem[77] <= 8'b00111100; fmem[78] <= 8'b00011000; fmem[79] <= 8'b00000000; fmem[80] <= 8'b01111111; fmem[81] <= 8'b01100111; fmem[82] <= 8'b01000011; fmem[83] <= 8'b01011011; fmem[84] <= 8'b01011011; fmem[85] <= 8'b01000011; fmem[86] <= 8'b01100111; fmem[87] <= 8'b01111111; fmem[88] <= 8'b00000000; fmem[89] <= 8'b00111000; fmem[90] <= 8'b01111100; fmem[91] <= 8'b01000100; fmem[92] <= 8'b01000100; fmem[93] <= 8'b01111101; fmem[94] <= 8'b00111111; fmem[95] <= 8'b00000011; fmem[96] <= 8'b00000000; fmem[97] <= 8'b00001110; fmem[98] <= 8'b01011111; fmem[99] <= 8'b01110001; fmem[100] <= 8'b01110001; fmem[101] <= 8'b01011111; fmem[102] <= 8'b00001110; fmem[103] <= 8'b00000000; fmem[104] <= 8'b00000000; fmem[105] <= 8'b00000000; fmem[106] <= 8'b01000000; fmem[107] <= 8'b01000000; fmem[108] <= 8'b01111111; fmem[109] <= 8'b00000110; fmem[110] <= 8'b00011100; fmem[111] <= 8'b00000000; fmem[112] <= 8'b00000000; fmem[113] <= 8'b00110000; fmem[114] <= 8'b00110000; fmem[115] <= 8'b00011111; fmem[116] <= 8'b00000101; fmem[117] <= 8'b01001010; fmem[118] <= 8'b01001010; fmem[119] <= 8'b01111100; fmem[120] <= 8'b01001000; fmem[121] <= 8'b01101011; fmem[122] <= 8'b00111110; fmem[123] <= 8'b01100100; fmem[124] <= 8'b00100111; fmem[125] <= 8'b01111100; fmem[126] <= 8'b01010110; fmem[127] <= 8'b00010010; fmem[128] <= 8'b00000000; fmem[129] <= 8'b01111111; fmem[130] <= 8'b00111110; fmem[131] <= 8'b00111110; fmem[132] <= 8'b00011100; fmem[133] <= 8'b00011100; fmem[134] <= 8'b00001000; fmem[135] <= 8'b00001000; fmem[136] <= 8'b00000000; fmem[137] <= 8'b00001000; fmem[138] <= 8'b00001000; fmem[139] <= 8'b00011100; fmem[140] <= 8'b00011100; fmem[141] <= 8'b00111110; fmem[142] <= 8'b00111110; fmem[143] <= 8'b01111111; fmem[144] <= 8'b00000000; fmem[145] <= 8'b00100100; fmem[146] <= 8'b01100110; fmem[147] <= 8'b01111111; fmem[148] <= 8'b01111111; fmem[149] <= 8'b01100110; fmem[150] <= 8'b00100100; fmem[151] <= 8'b00000000; fmem[152] <= 8'b00000000; fmem[153] <= 8'b00000000; fmem[154] <= 8'b01011111; fmem[155] <= 8'b01011111; fmem[156] <= 8'b00000000; fmem[157] <= 8'b01011111; fmem[158] <= 8'b01011111; fmem[159] <= 8'b00000000; fmem[160] <= 8'b00000000; fmem[161] <= 8'b00000110; fmem[162] <= 8'b00001111; fmem[163] <= 8'b01001001; fmem[164] <= 8'b01111111; fmem[165] <= 8'b00000001; fmem[166] <= 8'b01111111; fmem[167] <= 8'b00000001; fmem[168] <= 8'b00000000; fmem[169] <= 8'b01001010; fmem[170] <= 8'b01011111; fmem[171] <= 8'b00110111; fmem[172] <= 8'b01101101; fmem[173] <= 8'b01111011; fmem[174] <= 8'b01010010; fmem[175] <= 8'b00000000; fmem[176] <= 8'b00000000; fmem[177] <= 8'b00111000; fmem[178] <= 8'b00111000; fmem[179] <= 8'b00111000; fmem[180] <= 8'b00111000; fmem[181] <= 8'b00111000; fmem[182] <= 8'b00111000; fmem[183] <= 8'b00111000; fmem[184] <= 8'b00000000; fmem[185] <= 8'b00010100; fmem[186] <= 8'b00110110; fmem[187] <= 8'b01111111; fmem[188] <= 8'b01111111; fmem[189] <= 8'b00110110; fmem[190] <= 8'b00010100; fmem[191] <= 8'b00000000; fmem[192] <= 8'b00000000; fmem[193] <= 8'b00001100; fmem[194] <= 8'b00000110; fmem[195] <= 8'b01111111; fmem[196] <= 8'b01111111; fmem[197] <= 8'b00000110; fmem[198] <= 8'b00001100; fmem[199] <= 8'b00000000; fmem[200] <= 8'b00000000; fmem[201] <= 8'b00011000; fmem[202] <= 8'b00110000; fmem[203] <= 8'b01111111; fmem[204] <= 8'b01111111; fmem[205] <= 8'b00110000; fmem[206] <= 8'b00011000; fmem[207] <= 8'b00000000; fmem[208] <= 8'b00000000; fmem[209] <= 8'b00011000; fmem[210] <= 8'b00011000; fmem[211] <= 8'b00011000; fmem[212] <= 8'b01011010; fmem[213] <= 8'b01111110; fmem[214] <= 8'b00111100; fmem[215] <= 8'b00011000; fmem[216] <= 8'b00000000; fmem[217] <= 8'b00011000; fmem[218] <= 8'b00111100; fmem[219] <= 8'b01111110; fmem[220] <= 8'b01011010; fmem[221] <= 8'b00011000; fmem[222] <= 8'b00011000; fmem[223] <= 8'b00011000; fmem[224] <= 8'b00000000; fmem[225] <= 8'b00111100; fmem[226] <= 8'b00111100; fmem[227] <= 8'b00110000; fmem[228] <= 8'b00110000; fmem[229] <= 8'b00110000; fmem[230] <= 8'b00110000; fmem[231] <= 8'b00110000; fmem[232] <= 8'b00000000; fmem[233] <= 8'b00011000; fmem[234] <= 8'b00111100; fmem[235] <= 8'b01111110; fmem[236] <= 8'b00011000; fmem[237] <= 8'b01111110; fmem[238] <= 8'b00111100; fmem[239] <= 8'b00011000; fmem[240] <= 8'b00000000; fmem[241] <= 8'b01000000; fmem[242] <= 8'b01110000; fmem[243] <= 8'b01111100; fmem[244] <= 8'b01111111; fmem[245] <= 8'b01111100; fmem[246] <= 8'b01110000; fmem[247] <= 8'b01000000; fmem[248] <= 8'b00000000; fmem[249] <= 8'b00000001; fmem[250] <= 8'b00000111; fmem[251] <= 8'b00011111; fmem[252] <= 8'b01111111; fmem[253] <= 8'b00011111; fmem[254] <= 8'b00000111; fmem[255] <= 8'b00000001; fmem[256] <= 8'b00000000; fmem[257] <= 8'b00000000; fmem[258] <= 8'b00000000; fmem[259] <= 8'b00000000; fmem[260] <= 8'b00000000; fmem[261] <= 8'b00000000; fmem[262] <= 8'b00000000; fmem[263] <= 8'b00000000; fmem[264] <= 8'b00000000; fmem[265] <= 8'b00000000; fmem[266] <= 8'b00000000; fmem[267] <= 8'b01011111; fmem[268] <= 8'b01011111; fmem[269] <= 8'b00000000; fmem[270] <= 8'b00000000; fmem[271] <= 8'b00000000; fmem[272] <= 8'b00000000; fmem[273] <= 8'b00000000; fmem[274] <= 8'b00000011; fmem[275] <= 8'b00000111; fmem[276] <= 8'b00000000; fmem[277] <= 8'b00000111; fmem[278] <= 8'b00000011; fmem[279] <= 8'b00000000; fmem[280] <= 8'b00000000; fmem[281] <= 8'b00010000; fmem[282] <= 8'b01110100; fmem[283] <= 8'b00011100; fmem[284] <= 8'b01110111; fmem[285] <= 8'b00011100; fmem[286] <= 8'b00010111; fmem[287] <= 8'b00000100; fmem[288] <= 8'b00000000; fmem[289] <= 8'b00100100; fmem[290] <= 8'b00101110; fmem[291] <= 8'b00101010; fmem[292] <= 8'b01111111; fmem[293] <= 8'b00101010; fmem[294] <= 8'b00111010; fmem[295] <= 8'b00010000; fmem[296] <= 8'b00000000; fmem[297] <= 8'b01001100; fmem[298] <= 8'b01101010; fmem[299] <= 8'b01110110; fmem[300] <= 8'b00011010; fmem[301] <= 8'b01101010; fmem[302] <= 8'b01010110; fmem[303] <= 8'b00110011; fmem[304] <= 8'b00000000; fmem[305] <= 8'b00110000; fmem[306] <= 8'b01111010; fmem[307] <= 8'b01001111; fmem[308] <= 8'b01011101; fmem[309] <= 8'b00110111; fmem[310] <= 8'b01111010; fmem[311] <= 8'b01001000; fmem[312] <= 8'b00000000; fmem[313] <= 8'b00000000; fmem[314] <= 8'b00000100; fmem[315] <= 8'b00000111; fmem[316] <= 8'b00000011; fmem[317] <= 8'b00000000; fmem[318] <= 8'b00000000; fmem[319] <= 8'b00000000; fmem[320] <= 8'b00000000; fmem[321] <= 8'b00000000; fmem[322] <= 8'b00000000; fmem[323] <= 8'b00011100; fmem[324] <= 8'b00111110; fmem[325] <= 8'b01100011; fmem[326] <= 8'b01000001; fmem[327] <= 8'b00000000; fmem[328] <= 8'b00000000; fmem[329] <= 8'b00000000; fmem[330] <= 8'b01000001; fmem[331] <= 8'b01100011; fmem[332] <= 8'b00111110; fmem[333] <= 8'b00011100; fmem[334] <= 8'b00000000; fmem[335] <= 8'b00000000; fmem[336] <= 8'b00000000; fmem[337] <= 8'b00001000; fmem[338] <= 8'b00101010; fmem[339] <= 8'b00111110; fmem[340] <= 8'b00011100; fmem[341] <= 8'b00111110; fmem[342] <= 8'b00101010; fmem[343] <= 8'b00001000; fmem[344] <= 8'b00000000; fmem[345] <= 8'b00001000; fmem[346] <= 8'b00001000; fmem[347] <= 8'b00111110; fmem[348] <= 8'b00111110; fmem[349] <= 8'b00001000; fmem[350] <= 8'b00001000; fmem[351] <= 8'b00000000; fmem[352] <= 8'b00000000; fmem[353] <= 8'b00000000; fmem[354] <= 8'b00000000; fmem[355] <= 8'b01100000; fmem[356] <= 8'b01100000; fmem[357] <= 8'b00000000; fmem[358] <= 8'b00000000; fmem[359] <= 8'b00000000; fmem[360] <= 8'b00000000; fmem[361] <= 8'b00001000; fmem[362] <= 8'b00001000; fmem[363] <= 8'b00001000; fmem[364] <= 8'b00001000; fmem[365] <= 8'b00001000; fmem[366] <= 8'b00001000; fmem[367] <= 8'b00000000; fmem[368] <= 8'b00000000; fmem[369] <= 8'b00000000; fmem[370] <= 8'b00000000; fmem[371] <= 8'b01100000; fmem[372] <= 8'b01100000; fmem[373] <= 8'b00000000; fmem[374] <= 8'b00000000; fmem[375] <= 8'b00000000; fmem[376] <= 8'b00000000; fmem[377] <= 8'b01100000; fmem[378] <= 8'b00110000; fmem[379] <= 8'b00011000; fmem[380] <= 8'b00001100; fmem[381] <= 8'b00000110; fmem[382] <= 8'b00000011; fmem[383] <= 8'b00000001; fmem[384] <= 8'b00000000; fmem[385] <= 8'b00011100; fmem[386] <= 8'b00111110; fmem[387] <= 8'b01100001; fmem[388] <= 8'b01000011; fmem[389] <= 8'b00111110; fmem[390] <= 8'b00011100; fmem[391] <= 8'b00000000; fmem[392] <= 8'b00000000; fmem[393] <= 8'b00000000; fmem[394] <= 8'b01000100; fmem[395] <= 8'b01111111; fmem[396] <= 8'b01111111; fmem[397] <= 8'b01000000; fmem[398] <= 8'b00000000; fmem[399] <= 8'b00000000; fmem[400] <= 8'b00000000; fmem[401] <= 8'b01000110; fmem[402] <= 8'b01100111; fmem[403] <= 8'b01110001; fmem[404] <= 8'b01011001; fmem[405] <= 8'b01001111; fmem[406] <= 8'b01100110; fmem[407] <= 8'b00000000; fmem[408] <= 8'b00000000; fmem[409] <= 8'b00100010; fmem[410] <= 8'b01100011; fmem[411] <= 8'b01001001; fmem[412] <= 8'b01001101; fmem[413] <= 8'b01111111; fmem[414] <= 8'b00110010; fmem[415] <= 8'b00000000; fmem[416] <= 8'b00000000; fmem[417] <= 8'b00011000; fmem[418] <= 8'b00011100; fmem[419] <= 8'b01010010; fmem[420] <= 8'b01111111; fmem[421] <= 8'b01111111; fmem[422] <= 8'b01010000; fmem[423] <= 8'b00000000; fmem[424] <= 8'b00000000; fmem[425] <= 8'b00101111; fmem[426] <= 8'b01101111; fmem[427] <= 8'b01000101; fmem[428] <= 8'b01000101; fmem[429] <= 8'b01111101; fmem[430] <= 8'b00111001; fmem[431] <= 8'b00000000; fmem[432] <= 8'b00000000; fmem[433] <= 8'b00111100; fmem[434] <= 8'b01111110; fmem[435] <= 8'b01001011; fmem[436] <= 8'b01001001; fmem[437] <= 8'b01111001; fmem[438] <= 8'b00110000; fmem[439] <= 8'b00000000; fmem[440] <= 8'b00000000; fmem[441] <= 8'b00000111; fmem[442] <= 8'b01000011; fmem[443] <= 8'b01110001; fmem[444] <= 8'b01111101; fmem[445] <= 8'b00001111; fmem[446] <= 8'b00000011; fmem[447] <= 8'b00000000; fmem[448] <= 8'b00000000; fmem[449] <= 8'b00110110; fmem[450] <= 8'b01111111; fmem[451] <= 8'b01001101; fmem[452] <= 8'b01011001; fmem[453] <= 8'b01111111; fmem[454] <= 8'b00110110; fmem[455] <= 8'b00000000; fmem[456] <= 8'b00000000; fmem[457] <= 8'b00000110; fmem[458] <= 8'b01001111; fmem[459] <= 8'b01001001; fmem[460] <= 8'b01101001; fmem[461] <= 8'b00111111; fmem[462] <= 8'b00011110; fmem[463] <= 8'b00000000; fmem[464] <= 8'b00000000; fmem[465] <= 8'b00000000; fmem[466] <= 8'b00000000; fmem[467] <= 8'b01100110; fmem[468] <= 8'b01100110; fmem[469] <= 8'b00000000; fmem[470] <= 8'b00000000; fmem[471] <= 8'b00000000; fmem[472] <= 8'b00000000; fmem[473] <= 8'b00000000; fmem[474] <= 8'b00000000; fmem[475] <= 8'b01100110; fmem[476] <= 8'b01100110; fmem[477] <= 8'b00000000; fmem[478] <= 8'b00000000; fmem[479] <= 8'b00000000; fmem[480] <= 8'b00000000; fmem[481] <= 8'b00000000; fmem[482] <= 8'b00001000; fmem[483] <= 8'b00011100; fmem[484] <= 8'b00110110; fmem[485] <= 8'b01100011; fmem[486] <= 8'b01000001; fmem[487] <= 8'b00000000; fmem[488] <= 8'b00000000; fmem[489] <= 8'b00010100; fmem[490] <= 8'b00010100; fmem[491] <= 8'b00010100; fmem[492] <= 8'b00010100; fmem[493] <= 8'b00010100; fmem[494] <= 8'b00010100; fmem[495] <= 8'b00000000; fmem[496] <= 8'b00000000; fmem[497] <= 8'b00000000; fmem[498] <= 8'b01000001; fmem[499] <= 8'b01100011; fmem[500] <= 8'b00110110; fmem[501] <= 8'b00011100; fmem[502] <= 8'b00001000; fmem[503] <= 8'b00000000; fmem[504] <= 8'b00000000; fmem[505] <= 8'b00000010; fmem[506] <= 8'b00000111; fmem[507] <= 8'b01010001; fmem[508] <= 8'b01011001; fmem[509] <= 8'b00001111; fmem[510] <= 8'b00000110; fmem[511] <= 8'b00000000; fmem[512] <= 8'b00000000; fmem[513] <= 8'b00111110; fmem[514] <= 8'b01000001; fmem[515] <= 8'b01011101; fmem[516] <= 8'b01010101; fmem[517] <= 8'b01011101; fmem[518] <= 8'b01010001; fmem[519] <= 8'b00011110; fmem[520] <= 8'b00000000; fmem[521] <= 8'b01000000; fmem[522] <= 8'b01110000; fmem[523] <= 8'b00011101; fmem[524] <= 8'b00010111; fmem[525] <= 8'b00011111; fmem[526] <= 8'b01111000; fmem[527] <= 8'b01100000; fmem[528] <= 8'b00000000; fmem[529] <= 8'b01000001; fmem[530] <= 8'b01111111; fmem[531] <= 8'b01111111; fmem[532] <= 8'b01001001; fmem[533] <= 8'b01001111; fmem[534] <= 8'b01111110; fmem[535] <= 8'b00110000; fmem[536] <= 8'b00000000; fmem[537] <= 8'b00011100; fmem[538] <= 8'b00111110; fmem[539] <= 8'b01100011; fmem[540] <= 8'b01000001; fmem[541] <= 8'b01000001; fmem[542] <= 8'b01000010; fmem[543] <= 8'b00100111; fmem[544] <= 8'b00000000; fmem[545] <= 8'b01000001; fmem[546] <= 8'b01111111; fmem[547] <= 8'b01111111; fmem[548] <= 8'b01000001; fmem[549] <= 8'b01100011; fmem[550] <= 8'b00111110; fmem[551] <= 8'b00011100; fmem[552] <= 8'b00000000; fmem[553] <= 8'b01000001; fmem[554] <= 8'b01111111; fmem[555] <= 8'b01111111; fmem[556] <= 8'b01001001; fmem[557] <= 8'b01011101; fmem[558] <= 8'b01000001; fmem[559] <= 8'b01100011; fmem[560] <= 8'b00000000; fmem[561] <= 8'b01000001; fmem[562] <= 8'b01111111; fmem[563] <= 8'b01111111; fmem[564] <= 8'b01001001; fmem[565] <= 8'b00011101; fmem[566] <= 8'b00000001; fmem[567] <= 8'b00000011; fmem[568] <= 8'b00000000; fmem[569] <= 8'b00011100; fmem[570] <= 8'b00111110; fmem[571] <= 8'b01100011; fmem[572] <= 8'b01000001; fmem[573] <= 8'b01010001; fmem[574] <= 8'b01110010; fmem[575] <= 8'b01110111; fmem[576] <= 8'b00000000; fmem[577] <= 8'b01111111; fmem[578] <= 8'b01111111; fmem[579] <= 8'b00001000; fmem[580] <= 8'b00001000; fmem[581] <= 8'b01111111; fmem[582] <= 8'b01111111; fmem[583] <= 8'b00000000; fmem[584] <= 8'b00000000; fmem[585] <= 8'b00000000; fmem[586] <= 8'b01000001; fmem[587] <= 8'b01111111; fmem[588] <= 8'b01111111; fmem[589] <= 8'b01000001; fmem[590] <= 8'b00000000; fmem[591] <= 8'b00000000; fmem[592] <= 8'b00000000; fmem[593] <= 8'b00110000; fmem[594] <= 8'b01110000; fmem[595] <= 8'b01000001; fmem[596] <= 8'b01000001; fmem[597] <= 8'b01111111; fmem[598] <= 8'b00111111; fmem[599] <= 8'b00000001; fmem[600] <= 8'b00000000; fmem[601] <= 8'b01111111; fmem[602] <= 8'b01111111; fmem[603] <= 8'b00001000; fmem[604] <= 8'b00011100; fmem[605] <= 8'b01110111; fmem[606] <= 8'b01100011; fmem[607] <= 8'b01000001; fmem[608] <= 8'b00000000; fmem[609] <= 8'b01000001; fmem[610] <= 8'b01111111; fmem[611] <= 8'b01111111; fmem[612] <= 8'b01000001; fmem[613] <= 8'b01000000; fmem[614] <= 8'b01100000; fmem[615] <= 8'b01110000; fmem[616] <= 8'b00000000; fmem[617] <= 8'b01111111; fmem[618] <= 8'b01111110; fmem[619] <= 8'b00001100; fmem[620] <= 8'b00011000; fmem[621] <= 8'b00001100; fmem[622] <= 8'b01111110; fmem[623] <= 8'b01111111; fmem[624] <= 8'b00000000; fmem[625] <= 8'b01111111; fmem[626] <= 8'b01111110; fmem[627] <= 8'b00001100; fmem[628] <= 8'b00011000; fmem[629] <= 8'b00110000; fmem[630] <= 8'b01111111; fmem[631] <= 8'b01111111; fmem[632] <= 8'b00000000; fmem[633] <= 8'b00011100; fmem[634] <= 8'b00111110; fmem[635] <= 8'b01100011; fmem[636] <= 8'b01000001; fmem[637] <= 8'b01100011; fmem[638] <= 8'b00111110; fmem[639] <= 8'b00011100; fmem[640] <= 8'b00000000; fmem[641] <= 8'b01000001; fmem[642] <= 8'b01111111; fmem[643] <= 8'b01111111; fmem[644] <= 8'b01001001; fmem[645] <= 8'b00001001; fmem[646] <= 8'b00001111; fmem[647] <= 8'b00000110; fmem[648] <= 8'b00000000; fmem[649] <= 8'b00011100; fmem[650] <= 8'b00111110; fmem[651] <= 8'b01100011; fmem[652] <= 8'b01010001; fmem[653] <= 8'b01100011; fmem[654] <= 8'b00111110; fmem[655] <= 8'b00011100; fmem[656] <= 8'b00000000; fmem[657] <= 8'b01111111; fmem[658] <= 8'b01111111; fmem[659] <= 8'b00001001; fmem[660] <= 8'b00011001; fmem[661] <= 8'b01111111; fmem[662] <= 8'b01100110; fmem[663] <= 8'b01000000; fmem[664] <= 8'b00000000; fmem[665] <= 8'b01100110; fmem[666] <= 8'b01101111; fmem[667] <= 8'b01001101; fmem[668] <= 8'b01011001; fmem[669] <= 8'b01111011; fmem[670] <= 8'b00110011; fmem[671] <= 8'b00000000; fmem[672] <= 8'b00000000; fmem[673] <= 8'b00000011; fmem[674] <= 8'b01000001; fmem[675] <= 8'b01111111; fmem[676] <= 8'b01111111; fmem[677] <= 8'b01000001; fmem[678] <= 8'b00000011; fmem[679] <= 8'b00000000; fmem[680] <= 8'b00000000; fmem[681] <= 8'b00111111; fmem[682] <= 8'b01111111; fmem[683] <= 8'b01000000; fmem[684] <= 8'b01000000; fmem[685] <= 8'b01000000; fmem[686] <= 8'b01111111; fmem[687] <= 8'b00111111; fmem[688] <= 8'b00000000; fmem[689] <= 8'b00000011; fmem[690] <= 8'b00001111; fmem[691] <= 8'b00111101; fmem[692] <= 8'b01110000; fmem[693] <= 8'b00011101; fmem[694] <= 8'b00000111; fmem[695] <= 8'b00000001; fmem[696] <= 8'b00000000; fmem[697] <= 8'b00001111; fmem[698] <= 8'b01111111; fmem[699] <= 8'b00110000; fmem[700] <= 8'b00011100; fmem[701] <= 8'b00110000; fmem[702] <= 8'b01111111; fmem[703] <= 8'b00001111; fmem[704] <= 8'b00000000; fmem[705] <= 8'b01100011; fmem[706] <= 8'b01110111; fmem[707] <= 8'b00011100; fmem[708] <= 8'b00011100; fmem[709] <= 8'b01110111; fmem[710] <= 8'b01100011; fmem[711] <= 8'b00000000; fmem[712] <= 8'b00000001; fmem[713] <= 8'b00000011; fmem[714] <= 8'b01000111; fmem[715] <= 8'b01111100; fmem[716] <= 8'b01111000; fmem[717] <= 8'b01000111; fmem[718] <= 8'b00000011; fmem[719] <= 8'b00000001; fmem[720] <= 8'b00000000; fmem[721] <= 8'b01100111; fmem[722] <= 8'b01110011; fmem[723] <= 8'b01011001; fmem[724] <= 8'b01001101; fmem[725] <= 8'b01100111; fmem[726] <= 8'b01110011; fmem[727] <= 8'b00000000; fmem[728] <= 8'b00000000; fmem[729] <= 8'b00000000; fmem[730] <= 8'b00000000; fmem[731] <= 8'b01111111; fmem[732] <= 8'b01111111; fmem[733] <= 8'b01000001; fmem[734] <= 8'b01000001; fmem[735] <= 8'b00000000; fmem[736] <= 8'b00000000; fmem[737] <= 8'b00000001; fmem[738] <= 8'b00000011; fmem[739] <= 8'b00000110; fmem[740] <= 8'b00001100; fmem[741] <= 8'b00011000; fmem[742] <= 8'b00110000; fmem[743] <= 8'b01100000; fmem[744] <= 8'b00000000; fmem[745] <= 8'b00000000; fmem[746] <= 8'b01000001; fmem[747] <= 8'b01000001; fmem[748] <= 8'b01111111; fmem[749] <= 8'b01111111; fmem[750] <= 8'b00000000; fmem[751] <= 8'b00000000; fmem[752] <= 8'b00000000; fmem[753] <= 8'b00000000; fmem[754] <= 8'b00000100; fmem[755] <= 8'b00000110; fmem[756] <= 8'b00000011; fmem[757] <= 8'b00000110; fmem[758] <= 8'b00000100; fmem[759] <= 8'b00000000; fmem[760] <= 8'b00000000; fmem[761] <= 8'b00000000; fmem[762] <= 8'b00000000; fmem[763] <= 8'b00000000; fmem[764] <= 8'b00000000; fmem[765] <= 8'b00000000; fmem[766] <= 8'b00000000; fmem[767] <= 8'b00000000; fmem[768] <= 8'b00000000; fmem[769] <= 8'b00000000; fmem[770] <= 8'b00000001; fmem[771] <= 8'b00000011; fmem[772] <= 8'b00000110; fmem[773] <= 8'b00000100; fmem[774] <= 8'b00000000; fmem[775] <= 8'b00000000; fmem[776] <= 8'b00000000; fmem[777] <= 8'b01101000; fmem[778] <= 8'b01101100; fmem[779] <= 8'b01010100; fmem[780] <= 8'b01010100; fmem[781] <= 8'b00111100; fmem[782] <= 8'b01111000; fmem[783] <= 8'b01000000; fmem[784] <= 8'b00000000; fmem[785] <= 8'b01000001; fmem[786] <= 8'b01111111; fmem[787] <= 8'b00111111; fmem[788] <= 8'b01101100; fmem[789] <= 8'b01000100; fmem[790] <= 8'b01111100; fmem[791] <= 8'b00111000; fmem[792] <= 8'b00000000; fmem[793] <= 8'b00111000; fmem[794] <= 8'b01111100; fmem[795] <= 8'b01000100; fmem[796] <= 8'b01000100; fmem[797] <= 8'b01101100; fmem[798] <= 8'b00101100; fmem[799] <= 8'b00000000; fmem[800] <= 8'b00000000; fmem[801] <= 8'b00111000; fmem[802] <= 8'b01111100; fmem[803] <= 8'b01000100; fmem[804] <= 8'b01001001; fmem[805] <= 8'b00111111; fmem[806] <= 8'b01111111; fmem[807] <= 8'b01000000; fmem[808] <= 8'b00000000; fmem[809] <= 8'b00111000; fmem[810] <= 8'b01111100; fmem[811] <= 8'b01010100; fmem[812] <= 8'b01010100; fmem[813] <= 8'b01011100; fmem[814] <= 8'b01011000; fmem[815] <= 8'b00000000; fmem[816] <= 8'b00000000; fmem[817] <= 8'b00000000; fmem[818] <= 8'b01001000; fmem[819] <= 8'b01111110; fmem[820] <= 8'b01111111; fmem[821] <= 8'b01001001; fmem[822] <= 8'b00001011; fmem[823] <= 8'b00000010; fmem[824] <= 8'b00000000; fmem[825] <= 8'b01001000; fmem[826] <= 8'b01111100; fmem[827] <= 8'b00110100; fmem[828] <= 8'b00110100; fmem[829] <= 8'b00101100; fmem[830] <= 8'b01101000; fmem[831] <= 8'b01000100; fmem[832] <= 8'b00000000; fmem[833] <= 8'b01000001; fmem[834] <= 8'b01111111; fmem[835] <= 8'b01111111; fmem[836] <= 8'b00001000; fmem[837] <= 8'b00000100; fmem[838] <= 8'b01111100; fmem[839] <= 8'b01111000; fmem[840] <= 8'b00000000; fmem[841] <= 8'b00000000; fmem[842] <= 8'b01000100; fmem[843] <= 8'b01111101; fmem[844] <= 8'b01111101; fmem[845] <= 8'b01000000; fmem[846] <= 8'b00000000; fmem[847] <= 8'b00000000; fmem[848] <= 8'b00000000; fmem[849] <= 8'b01100000; fmem[850] <= 8'b01100000; fmem[851] <= 8'b00000100; fmem[852] <= 8'b01111101; fmem[853] <= 8'b01111101; fmem[854] <= 8'b00000000; fmem[855] <= 8'b00000000; fmem[856] <= 8'b00000000; fmem[857] <= 8'b01000001; fmem[858] <= 8'b01111111; fmem[859] <= 8'b01111111; fmem[860] <= 8'b00010000; fmem[861] <= 8'b01111000; fmem[862] <= 8'b01101100; fmem[863] <= 8'b01000100; fmem[864] <= 8'b00000000; fmem[865] <= 8'b00000000; fmem[866] <= 8'b01000001; fmem[867] <= 8'b01111111; fmem[868] <= 8'b01111111; fmem[869] <= 8'b01000000; fmem[870] <= 8'b00000000; fmem[871] <= 8'b00000000; fmem[872] <= 8'b00000000; fmem[873] <= 8'b01111100; fmem[874] <= 8'b01111100; fmem[875] <= 8'b00001100; fmem[876] <= 8'b01111000; fmem[877] <= 8'b00001100; fmem[878] <= 8'b01111100; fmem[879] <= 8'b01111000; fmem[880] <= 8'b00000000; fmem[881] <= 8'b01000100; fmem[882] <= 8'b01111100; fmem[883] <= 8'b01111100; fmem[884] <= 8'b00001000; fmem[885] <= 8'b00000100; fmem[886] <= 8'b01111100; fmem[887] <= 8'b01111000; fmem[888] <= 8'b00000000; fmem[889] <= 8'b00111000; fmem[890] <= 8'b01111100; fmem[891] <= 8'b01000100; fmem[892] <= 8'b01000100; fmem[893] <= 8'b01111100; fmem[894] <= 8'b00111000; fmem[895] <= 8'b00000000; fmem[896] <= 8'b00000000; fmem[897] <= 8'b00000100; fmem[898] <= 8'b01111100; fmem[899] <= 8'b01111000; fmem[900] <= 8'b00100100; fmem[901] <= 8'b00100100; fmem[902] <= 8'b00111100; fmem[903] <= 8'b00011000; fmem[904] <= 8'b00000000; fmem[905] <= 8'b00011000; fmem[906] <= 8'b00111100; fmem[907] <= 8'b00100100; fmem[908] <= 8'b00100100; fmem[909] <= 8'b01111000; fmem[910] <= 8'b01111100; fmem[911] <= 8'b00000000; fmem[912] <= 8'b00000000; fmem[913] <= 8'b01000100; fmem[914] <= 8'b01111100; fmem[915] <= 8'b01111000; fmem[916] <= 8'b01001100; fmem[917] <= 8'b00000100; fmem[918] <= 8'b00011100; fmem[919] <= 8'b00011000; fmem[920] <= 8'b00000000; fmem[921] <= 8'b01001000; fmem[922] <= 8'b01011100; fmem[923] <= 8'b01011100; fmem[924] <= 8'b01110100; fmem[925] <= 8'b01110100; fmem[926] <= 8'b00100100; fmem[927] <= 8'b00000000; fmem[928] <= 8'b00000000; fmem[929] <= 8'b00000000; fmem[930] <= 8'b00000100; fmem[931] <= 8'b00111110; fmem[932] <= 8'b01111111; fmem[933] <= 8'b01000100; fmem[934] <= 8'b00100100; fmem[935] <= 8'b00000000; fmem[936] <= 8'b00000000; fmem[937] <= 8'b00111100; fmem[938] <= 8'b01111100; fmem[939] <= 8'b01000000; fmem[940] <= 8'b01000000; fmem[941] <= 8'b00111100; fmem[942] <= 8'b01111100; fmem[943] <= 8'b01000000; fmem[944] <= 8'b00000000; fmem[945] <= 8'b00000100; fmem[946] <= 8'b00011100; fmem[947] <= 8'b00111100; fmem[948] <= 8'b01100000; fmem[949] <= 8'b00110000; fmem[950] <= 8'b00011100; fmem[951] <= 8'b00000100; fmem[952] <= 8'b00000000; fmem[953] <= 8'b00011100; fmem[954] <= 8'b01111100; fmem[955] <= 8'b00110000; fmem[956] <= 8'b00011100; fmem[957] <= 8'b00110000; fmem[958] <= 8'b01111100; fmem[959] <= 8'b00011100; fmem[960] <= 8'b00000000; fmem[961] <= 8'b01000100; fmem[962] <= 8'b01101100; fmem[963] <= 8'b00111100; fmem[964] <= 8'b00010000; fmem[965] <= 8'b01111000; fmem[966] <= 8'b01101100; fmem[967] <= 8'b01000100; fmem[968] <= 8'b00000000; fmem[969] <= 8'b01000100; fmem[970] <= 8'b01001100; fmem[971] <= 8'b00011100; fmem[972] <= 8'b01110000; fmem[973] <= 8'b01100100; fmem[974] <= 8'b00011100; fmem[975] <= 8'b00001100; fmem[976] <= 8'b00000000; fmem[977] <= 8'b01001100; fmem[978] <= 8'b01100100; fmem[979] <= 8'b01110100; fmem[980] <= 8'b01011100; fmem[981] <= 8'b01001100; fmem[982] <= 8'b01100100; fmem[983] <= 8'b00000000; fmem[984] <= 8'b00000000; fmem[985] <= 8'b00001000; fmem[986] <= 8'b00001000; fmem[987] <= 8'b00111110; fmem[988] <= 8'b01110111; fmem[989] <= 8'b01000001; fmem[990] <= 8'b01000001; fmem[991] <= 8'b00000000; fmem[992] <= 8'b00000000; fmem[993] <= 8'b00000000; fmem[994] <= 8'b00000000; fmem[995] <= 8'b01111111; fmem[996] <= 8'b01111111; fmem[997] <= 8'b00000000; fmem[998] <= 8'b00000000; fmem[999] <= 8'b00000000; fmem[1000] <= 8'b00000000; fmem[1001] <= 8'b01000001; fmem[1002] <= 8'b01000001; fmem[1003] <= 8'b01110111; fmem[1004] <= 8'b00111110; fmem[1005] <= 8'b00001000; fmem[1006] <= 8'b00001000; fmem[1007] <= 8'b00000000; fmem[1008] <= 8'b00000000; fmem[1009] <= 8'b00000010; fmem[1010] <= 8'b00000001; fmem[1011] <= 8'b00000001; fmem[1012] <= 8'b00000011; fmem[1013] <= 8'b00000010; fmem[1014] <= 8'b00000010; fmem[1015] <= 8'b00000001; fmem[1016] <= 8'b00000000; fmem[1017] <= 8'b01100000; fmem[1018] <= 8'b01111000; fmem[1019] <= 8'b01001110; fmem[1020] <= 8'b01000111; fmem[1021] <= 8'b01011110; fmem[1022] <= 8'b01111000; fmem[1023] <= 8'b01100000; fmem[1024] <= 8'b00000000; fmem[1025] <= 8'b00011100; fmem[1026] <= 8'b00111110; fmem[1027] <= 8'b00100011; fmem[1028] <= 8'b01000001; fmem[1029] <= 8'b01000001; fmem[1030] <= 8'b01000010; fmem[1031] <= 8'b00100111; fmem[1032] <= 8'b00000000; fmem[1033] <= 8'b00111101; fmem[1034] <= 8'b01111101; fmem[1035] <= 8'b01000000; fmem[1036] <= 8'b01000001; fmem[1037] <= 8'b00111101; fmem[1038] <= 8'b01111100; fmem[1039] <= 8'b01000000; fmem[1040] <= 8'b00000000; fmem[1041] <= 8'b00111000; fmem[1042] <= 8'b01111100; fmem[1043] <= 8'b01010110; fmem[1044] <= 8'b01010111; fmem[1045] <= 8'b01011101; fmem[1046] <= 8'b01011001; fmem[1047] <= 8'b00000000; fmem[1048] <= 8'b00000000; fmem[1049] <= 8'b01101000; fmem[1050] <= 8'b01101110; fmem[1051] <= 8'b01010111; fmem[1052] <= 8'b01010111; fmem[1053] <= 8'b00111110; fmem[1054] <= 8'b01111000; fmem[1055] <= 8'b01000000; fmem[1056] <= 8'b00000000; fmem[1057] <= 8'b01101000; fmem[1058] <= 8'b01101101; fmem[1059] <= 8'b01010101; fmem[1060] <= 8'b01010100; fmem[1061] <= 8'b00111101; fmem[1062] <= 8'b01111001; fmem[1063] <= 8'b01000000; fmem[1064] <= 8'b00000000; fmem[1065] <= 8'b01101000; fmem[1066] <= 8'b01101101; fmem[1067] <= 8'b01010111; fmem[1068] <= 8'b01010110; fmem[1069] <= 8'b00111100; fmem[1070] <= 8'b01111000; fmem[1071] <= 8'b01000000; fmem[1072] <= 8'b00000000; fmem[1073] <= 8'b01101000; fmem[1074] <= 8'b01101100; fmem[1075] <= 8'b01010111; fmem[1076] <= 8'b01010111; fmem[1077] <= 8'b00111100; fmem[1078] <= 8'b01111000; fmem[1079] <= 8'b01000000; fmem[1080] <= 8'b00000000; fmem[1081] <= 8'b00011000; fmem[1082] <= 8'b00111100; fmem[1083] <= 8'b01100100; fmem[1084] <= 8'b01000100; fmem[1085] <= 8'b01101100; fmem[1086] <= 8'b00101100; fmem[1087] <= 8'b00000000; fmem[1088] <= 8'b00000000; fmem[1089] <= 8'b00111000; fmem[1090] <= 8'b01111110; fmem[1091] <= 8'b01010111; fmem[1092] <= 8'b01010111; fmem[1093] <= 8'b01011110; fmem[1094] <= 8'b01011000; fmem[1095] <= 8'b00000000; fmem[1096] <= 8'b00000000; fmem[1097] <= 8'b00111000; fmem[1098] <= 8'b01111101; fmem[1099] <= 8'b01010101; fmem[1100] <= 8'b01010100; fmem[1101] <= 8'b01011101; fmem[1102] <= 8'b01011001; fmem[1103] <= 8'b00000000; fmem[1104] <= 8'b00000000; fmem[1105] <= 8'b00111000; fmem[1106] <= 8'b01111101; fmem[1107] <= 8'b01010111; fmem[1108] <= 8'b01010110; fmem[1109] <= 8'b01011100; fmem[1110] <= 8'b01011000; fmem[1111] <= 8'b00000000; fmem[1112] <= 8'b00000000; fmem[1113] <= 8'b00000001; fmem[1114] <= 8'b01000101; fmem[1115] <= 8'b01111100; fmem[1116] <= 8'b01111101; fmem[1117] <= 8'b01000001; fmem[1118] <= 8'b00000000; fmem[1119] <= 8'b00000000; fmem[1120] <= 8'b00000000; fmem[1121] <= 8'b00000000; fmem[1122] <= 8'b01001010; fmem[1123] <= 8'b01111011; fmem[1124] <= 8'b01111011; fmem[1125] <= 8'b01000010; fmem[1126] <= 8'b00000000; fmem[1127] <= 8'b00000000; fmem[1128] <= 8'b00000000; fmem[1129] <= 8'b00000000; fmem[1130] <= 8'b01001001; fmem[1131] <= 8'b01111011; fmem[1132] <= 8'b01111010; fmem[1133] <= 8'b01000000; fmem[1134] <= 8'b00000000; fmem[1135] <= 8'b00000000; fmem[1136] <= 8'b00000000; fmem[1137] <= 8'b01000000; fmem[1138] <= 8'b01110001; fmem[1139] <= 8'b00111101; fmem[1140] <= 8'b00100110; fmem[1141] <= 8'b00111101; fmem[1142] <= 8'b01111001; fmem[1143] <= 8'b01100000; fmem[1144] <= 8'b00000000; fmem[1145] <= 8'b01000000; fmem[1146] <= 8'b01110000; fmem[1147] <= 8'b00111111; fmem[1148] <= 8'b00101101; fmem[1149] <= 8'b00111111; fmem[1150] <= 8'b01111000; fmem[1151] <= 8'b01100000; fmem[1152] <= 8'b00000000; fmem[1153] <= 8'b01000100; fmem[1154] <= 8'b01111100; fmem[1155] <= 8'b01111100; fmem[1156] <= 8'b01010110; fmem[1157] <= 8'b01010111; fmem[1158] <= 8'b01000101; fmem[1159] <= 8'b01101101; fmem[1160] <= 8'b00000000; fmem[1161] <= 8'b01100100; fmem[1162] <= 8'b01110100; fmem[1163] <= 8'b01011100; fmem[1164] <= 8'b00111000; fmem[1165] <= 8'b01110100; fmem[1166] <= 8'b01011100; fmem[1167] <= 8'b01011000; fmem[1168] <= 8'b00000000; fmem[1169] <= 8'b01110000; fmem[1170] <= 8'b01111111; fmem[1171] <= 8'b00001011; fmem[1172] <= 8'b01111111; fmem[1173] <= 8'b01111111; fmem[1174] <= 8'b01001001; fmem[1175] <= 8'b01100011; fmem[1176] <= 8'b00000000; fmem[1177] <= 8'b00111000; fmem[1178] <= 8'b01111110; fmem[1179] <= 8'b01000111; fmem[1180] <= 8'b01000111; fmem[1181] <= 8'b01111110; fmem[1182] <= 8'b00111000; fmem[1183] <= 8'b00000000; fmem[1184] <= 8'b00000000; fmem[1185] <= 8'b00111001; fmem[1186] <= 8'b01111101; fmem[1187] <= 8'b01000100; fmem[1188] <= 8'b01000100; fmem[1189] <= 8'b01111101; fmem[1190] <= 8'b00111001; fmem[1191] <= 8'b00000000; fmem[1192] <= 8'b00000000; fmem[1193] <= 8'b00111001; fmem[1194] <= 8'b01111101; fmem[1195] <= 8'b01000111; fmem[1196] <= 8'b01000110; fmem[1197] <= 8'b01111100; fmem[1198] <= 8'b00111000; fmem[1199] <= 8'b00000000; fmem[1200] <= 8'b00000000; fmem[1201] <= 8'b00111100; fmem[1202] <= 8'b01111010; fmem[1203] <= 8'b01000011; fmem[1204] <= 8'b01000011; fmem[1205] <= 8'b00111010; fmem[1206] <= 8'b01111100; fmem[1207] <= 8'b01000000; fmem[1208] <= 8'b00000000; fmem[1209] <= 8'b00111101; fmem[1210] <= 8'b01111101; fmem[1211] <= 8'b01000011; fmem[1212] <= 8'b01000010; fmem[1213] <= 8'b00111100; fmem[1214] <= 8'b01111100; fmem[1215] <= 8'b01000000; fmem[1216] <= 8'b00000000; fmem[1217] <= 8'b01000100; fmem[1218] <= 8'b01001101; fmem[1219] <= 8'b00011101; fmem[1220] <= 8'b01110000; fmem[1221] <= 8'b01100101; fmem[1222] <= 8'b00011101; fmem[1223] <= 8'b00001100; fmem[1224] <= 8'b00000000; fmem[1225] <= 8'b00011001; fmem[1226] <= 8'b00111101; fmem[1227] <= 8'b01100110; fmem[1228] <= 8'b01000010; fmem[1229] <= 8'b01100110; fmem[1230] <= 8'b00111101; fmem[1231] <= 8'b00011001; fmem[1232] <= 8'b00000000; fmem[1233] <= 8'b00111110; fmem[1234] <= 8'b01111101; fmem[1235] <= 8'b01000001; fmem[1236] <= 8'b01000000; fmem[1237] <= 8'b01000001; fmem[1238] <= 8'b01111101; fmem[1239] <= 8'b00111110; fmem[1240] <= 8'b00000000; fmem[1241] <= 8'b00111000; fmem[1242] <= 8'b01111100; fmem[1243] <= 8'b01000100; fmem[1244] <= 8'b01111100; fmem[1245] <= 8'b01001111; fmem[1246] <= 8'b00101001; fmem[1247] <= 8'b00000000; fmem[1248] <= 8'b00000000; fmem[1249] <= 8'b01101000; fmem[1250] <= 8'b01011110; fmem[1251] <= 8'b01111111; fmem[1252] <= 8'b00111001; fmem[1253] <= 8'b01001001; fmem[1254] <= 8'b01100111; fmem[1255] <= 8'b00110110; fmem[1256] <= 8'b00000000; fmem[1257] <= 8'b00101011; fmem[1258] <= 8'b00101111; fmem[1259] <= 8'b01111100; fmem[1260] <= 8'b01111100; fmem[1261] <= 8'b00101111; fmem[1262] <= 8'b00101011; fmem[1263] <= 8'b00000000; fmem[1264] <= 8'b00000000; fmem[1265] <= 8'b01111111; fmem[1266] <= 8'b01111111; fmem[1267] <= 8'b00001001; fmem[1268] <= 8'b00101111; fmem[1269] <= 8'b01110110; fmem[1270] <= 8'b01111000; fmem[1271] <= 8'b00100000; fmem[1272] <= 8'b00000000; fmem[1273] <= 8'b01000000; fmem[1274] <= 8'b00001000; fmem[1275] <= 8'b01111110; fmem[1276] <= 8'b01111111; fmem[1277] <= 8'b00001001; fmem[1278] <= 8'b00001011; fmem[1279] <= 8'b00000010; fmem[1280] <= 8'b00000000; fmem[1281] <= 8'b01101000; fmem[1282] <= 8'b01101100; fmem[1283] <= 8'b01010110; fmem[1284] <= 8'b01010111; fmem[1285] <= 8'b00111101; fmem[1286] <= 8'b01111001; fmem[1287] <= 8'b01000000; fmem[1288] <= 8'b00000000; fmem[1289] <= 8'b00000000; fmem[1290] <= 8'b01001010; fmem[1291] <= 8'b01111011; fmem[1292] <= 8'b01111001; fmem[1293] <= 8'b01000001; fmem[1294] <= 8'b00000000; fmem[1295] <= 8'b00000000; fmem[1296] <= 8'b00000000; fmem[1297] <= 8'b00111000; fmem[1298] <= 8'b01111100; fmem[1299] <= 8'b01000110; fmem[1300] <= 8'b01000111; fmem[1301] <= 8'b01111101; fmem[1302] <= 8'b00111001; fmem[1303] <= 8'b00000000; fmem[1304] <= 8'b00000000; fmem[1305] <= 8'b00111100; fmem[1306] <= 8'b01111100; fmem[1307] <= 8'b01000010; fmem[1308] <= 8'b01000011; fmem[1309] <= 8'b00111101; fmem[1310] <= 8'b01111101; fmem[1311] <= 8'b01000000; fmem[1312] <= 8'b00000000; fmem[1313] <= 8'b01000100; fmem[1314] <= 8'b01111110; fmem[1315] <= 8'b01111101; fmem[1316] <= 8'b00001011; fmem[1317] <= 8'b00000110; fmem[1318] <= 8'b01111101; fmem[1319] <= 8'b01111000; fmem[1320] <= 8'b00000000; fmem[1321] <= 8'b01111100; fmem[1322] <= 8'b01111110; fmem[1323] <= 8'b00001101; fmem[1324] <= 8'b00011011; fmem[1325] <= 8'b00110010; fmem[1326] <= 8'b01111101; fmem[1327] <= 8'b01111100; fmem[1328] <= 8'b00000000; fmem[1329] <= 8'b00000000; fmem[1330] <= 8'b00100110; fmem[1331] <= 8'b00101111; fmem[1332] <= 8'b00101001; fmem[1333] <= 8'b00101111; fmem[1334] <= 8'b00101111; fmem[1335] <= 8'b00101000; fmem[1336] <= 8'b00000000; fmem[1337] <= 8'b00000000; fmem[1338] <= 8'b00100110; fmem[1339] <= 8'b00101111; fmem[1340] <= 8'b00101001; fmem[1341] <= 8'b00101111; fmem[1342] <= 8'b00100110; fmem[1343] <= 8'b00000000; fmem[1344] <= 8'b00000000; fmem[1345] <= 8'b00110000; fmem[1346] <= 8'b01111000; fmem[1347] <= 8'b01001101; fmem[1348] <= 8'b01000101; fmem[1349] <= 8'b01110000; fmem[1350] <= 8'b00100000; fmem[1351] <= 8'b00000000; fmem[1352] <= 8'b00000000; fmem[1353] <= 8'b00111000; fmem[1354] <= 8'b00111000; fmem[1355] <= 8'b00001000; fmem[1356] <= 8'b00001000; fmem[1357] <= 8'b00001000; fmem[1358] <= 8'b00001000; fmem[1359] <= 8'b00000000; fmem[1360] <= 8'b00000000; fmem[1361] <= 8'b00001000; fmem[1362] <= 8'b00001000; fmem[1363] <= 8'b00001000; fmem[1364] <= 8'b00001000; fmem[1365] <= 8'b00111000; fmem[1366] <= 8'b00111000; fmem[1367] <= 8'b00000000; fmem[1368] <= 8'b00000000; fmem[1369] <= 8'b01101111; fmem[1370] <= 8'b00111111; fmem[1371] <= 8'b00011000; fmem[1372] <= 8'b01011100; fmem[1373] <= 8'b01101110; fmem[1374] <= 8'b00111010; fmem[1375] <= 8'b00010000; fmem[1376] <= 8'b00000000; fmem[1377] <= 8'b01101111; fmem[1378] <= 8'b00111111; fmem[1379] <= 8'b00011000; fmem[1380] <= 8'b01101100; fmem[1381] <= 8'b01010110; fmem[1382] <= 8'b01111010; fmem[1383] <= 8'b01111000; fmem[1384] <= 8'b00000000; fmem[1385] <= 8'b00000000; fmem[1386] <= 8'b00000000; fmem[1387] <= 8'b01111101; fmem[1388] <= 8'b01111101; fmem[1389] <= 8'b00000000; fmem[1390] <= 8'b00000000; fmem[1391] <= 8'b00000000; fmem[1392] <= 8'b00000000; fmem[1393] <= 8'b00011000; fmem[1394] <= 8'b00111100; fmem[1395] <= 8'b01100110; fmem[1396] <= 8'b01011010; fmem[1397] <= 8'b00111100; fmem[1398] <= 8'b01100110; fmem[1399] <= 8'b01000010; fmem[1400] <= 8'b00000000; fmem[1401] <= 8'b01000010; fmem[1402] <= 8'b01100110; fmem[1403] <= 8'b00111100; fmem[1404] <= 8'b01011010; fmem[1405] <= 8'b01100110; fmem[1406] <= 8'b00111100; fmem[1407] <= 8'b00011000; fmem[1408] <= 8'b00000000; fmem[1409] <= 8'b00101010; fmem[1410] <= 8'b00000000; fmem[1411] <= 8'b01010101; fmem[1412] <= 8'b00000000; fmem[1413] <= 8'b00101010; fmem[1414] <= 8'b00000000; fmem[1415] <= 8'b01010101; fmem[1416] <= 8'b00101010; fmem[1417] <= 8'b01010101; fmem[1418] <= 8'b00101010; fmem[1419] <= 8'b01010101; fmem[1420] <= 8'b00101010; fmem[1421] <= 8'b01010101; fmem[1422] <= 8'b00101010; fmem[1423] <= 8'b01010101; fmem[1424] <= 8'b01111111; fmem[1425] <= 8'b01010101; fmem[1426] <= 8'b01111111; fmem[1427] <= 8'b00101010; fmem[1428] <= 8'b01111111; fmem[1429] <= 8'b01010101; fmem[1430] <= 8'b01111111; fmem[1431] <= 8'b00101010; fmem[1432] <= 8'b00000000; fmem[1433] <= 8'b00000000; fmem[1434] <= 8'b00000000; fmem[1435] <= 8'b01111111; fmem[1436] <= 8'b01111111; fmem[1437] <= 8'b00000000; fmem[1438] <= 8'b00000000; fmem[1439] <= 8'b00000000; fmem[1440] <= 8'b00011000; fmem[1441] <= 8'b00011000; fmem[1442] <= 8'b00011000; fmem[1443] <= 8'b01111111; fmem[1444] <= 8'b01111111; fmem[1445] <= 8'b00000000; fmem[1446] <= 8'b00000000; fmem[1447] <= 8'b00000000; fmem[1448] <= 8'b00101100; fmem[1449] <= 8'b00101100; fmem[1450] <= 8'b00101100; fmem[1451] <= 8'b01111111; fmem[1452] <= 8'b01111111; fmem[1453] <= 8'b00000000; fmem[1454] <= 8'b00000000; fmem[1455] <= 8'b00000000; fmem[1456] <= 8'b00011000; fmem[1457] <= 8'b00011000; fmem[1458] <= 8'b01111111; fmem[1459] <= 8'b01111111; fmem[1460] <= 8'b00000000; fmem[1461] <= 8'b01111111; fmem[1462] <= 8'b00000000; fmem[1463] <= 8'b00000000; fmem[1464] <= 8'b00011000; fmem[1465] <= 8'b00011000; fmem[1466] <= 8'b01111000; fmem[1467] <= 8'b01111000; fmem[1468] <= 8'b00011000; fmem[1469] <= 8'b01110000; fmem[1470] <= 8'b00000000; fmem[1471] <= 8'b00000000; fmem[1472] <= 8'b00101100; fmem[1473] <= 8'b00101100; fmem[1474] <= 8'b00101100; fmem[1475] <= 8'b01111100; fmem[1476] <= 8'b01111000; fmem[1477] <= 8'b00000000; fmem[1478] <= 8'b00000000; fmem[1479] <= 8'b00000000; fmem[1480] <= 8'b00101100; fmem[1481] <= 8'b00101100; fmem[1482] <= 8'b01101111; fmem[1483] <= 8'b01101111; fmem[1484] <= 8'b00000000; fmem[1485] <= 8'b01111111; fmem[1486] <= 8'b00000000; fmem[1487] <= 8'b00000000; fmem[1488] <= 8'b00000000; fmem[1489] <= 8'b00000000; fmem[1490] <= 8'b01111111; fmem[1491] <= 8'b01111111; fmem[1492] <= 8'b00000000; fmem[1493] <= 8'b01111111; fmem[1494] <= 8'b00000000; fmem[1495] <= 8'b00000000; fmem[1496] <= 8'b00101100; fmem[1497] <= 8'b00101100; fmem[1498] <= 8'b01101100; fmem[1499] <= 8'b01101100; fmem[1500] <= 8'b00001100; fmem[1501] <= 8'b01111000; fmem[1502] <= 8'b00000000; fmem[1503] <= 8'b00000000; fmem[1504] <= 8'b00101100; fmem[1505] <= 8'b00101100; fmem[1506] <= 8'b00101111; fmem[1507] <= 8'b00101111; fmem[1508] <= 8'b00100000; fmem[1509] <= 8'b00111111; fmem[1510] <= 8'b00000000; fmem[1511] <= 8'b00000000; fmem[1512] <= 8'b00011000; fmem[1513] <= 8'b00011000; fmem[1514] <= 8'b00011111; fmem[1515] <= 8'b00011111; fmem[1516] <= 8'b00011000; fmem[1517] <= 8'b00011111; fmem[1518] <= 8'b00000000; fmem[1519] <= 8'b00000000; fmem[1520] <= 8'b00101100; fmem[1521] <= 8'b00101100; fmem[1522] <= 8'b00101100; fmem[1523] <= 8'b00111111; fmem[1524] <= 8'b00111111; fmem[1525] <= 8'b00000000; fmem[1526] <= 8'b00000000; fmem[1527] <= 8'b00000000; fmem[1528] <= 8'b00011000; fmem[1529] <= 8'b00011000; fmem[1530] <= 8'b00011000; fmem[1531] <= 8'b01111000; fmem[1532] <= 8'b01111000; fmem[1533] <= 8'b00000000; fmem[1534] <= 8'b00000000; fmem[1535] <= 8'b00000000; fmem[1536] <= 8'b00000000; fmem[1537] <= 8'b00000000; fmem[1538] <= 8'b00000000; fmem[1539] <= 8'b00011111; fmem[1540] <= 8'b00011111; fmem[1541] <= 8'b00011000; fmem[1542] <= 8'b00011000; fmem[1543] <= 8'b00011000; fmem[1544] <= 8'b00011000; fmem[1545] <= 8'b00011000; fmem[1546] <= 8'b00011000; fmem[1547] <= 8'b00011111; fmem[1548] <= 8'b00011111; fmem[1549] <= 8'b00011000; fmem[1550] <= 8'b00011000; fmem[1551] <= 8'b00011000; fmem[1552] <= 8'b00011000; fmem[1553] <= 8'b00011000; fmem[1554] <= 8'b00011000; fmem[1555] <= 8'b01111000; fmem[1556] <= 8'b01111000; fmem[1557] <= 8'b00011000; fmem[1558] <= 8'b00011000; fmem[1559] <= 8'b00011000; fmem[1560] <= 8'b00000000; fmem[1561] <= 8'b00000000; fmem[1562] <= 8'b00000000; fmem[1563] <= 8'b01111111; fmem[1564] <= 8'b01111111; fmem[1565] <= 8'b00011000; fmem[1566] <= 8'b00011000; fmem[1567] <= 8'b00011000; fmem[1568] <= 8'b00011000; fmem[1569] <= 8'b00011000; fmem[1570] <= 8'b00011000; fmem[1571] <= 8'b00011000; fmem[1572] <= 8'b00011000; fmem[1573] <= 8'b00011000; fmem[1574] <= 8'b00011000; fmem[1575] <= 8'b00011000; fmem[1576] <= 8'b00011000; fmem[1577] <= 8'b00011000; fmem[1578] <= 8'b00011000; fmem[1579] <= 8'b01111111; fmem[1580] <= 8'b01111111; fmem[1581] <= 8'b00011000; fmem[1582] <= 8'b00011000; fmem[1583] <= 8'b00011000; fmem[1584] <= 8'b00000000; fmem[1585] <= 8'b00000000; fmem[1586] <= 8'b00000000; fmem[1587] <= 8'b01111111; fmem[1588] <= 8'b01111111; fmem[1589] <= 8'b00101100; fmem[1590] <= 8'b00101100; fmem[1591] <= 8'b00101100; fmem[1592] <= 8'b00000000; fmem[1593] <= 8'b00000000; fmem[1594] <= 8'b01111111; fmem[1595] <= 8'b01111111; fmem[1596] <= 8'b00000000; fmem[1597] <= 8'b01111111; fmem[1598] <= 8'b00011000; fmem[1599] <= 8'b00011000; fmem[1600] <= 8'b00000000; fmem[1601] <= 8'b00000000; fmem[1602] <= 8'b00011111; fmem[1603] <= 8'b00111111; fmem[1604] <= 8'b00100000; fmem[1605] <= 8'b00101111; fmem[1606] <= 8'b00101100; fmem[1607] <= 8'b00101100; fmem[1608] <= 8'b00000000; fmem[1609] <= 8'b00000000; fmem[1610] <= 8'b01111100; fmem[1611] <= 8'b01111100; fmem[1612] <= 8'b00001100; fmem[1613] <= 8'b01101100; fmem[1614] <= 8'b00101100; fmem[1615] <= 8'b00101100; fmem[1616] <= 8'b00101100; fmem[1617] <= 8'b00101100; fmem[1618] <= 8'b00101111; fmem[1619] <= 8'b00101111; fmem[1620] <= 8'b00100000; fmem[1621] <= 8'b00101111; fmem[1622] <= 8'b00101100; fmem[1623] <= 8'b00101100; fmem[1624] <= 8'b00101100; fmem[1625] <= 8'b00101100; fmem[1626] <= 8'b01101100; fmem[1627] <= 8'b01101100; fmem[1628] <= 8'b00001100; fmem[1629] <= 8'b01101100; fmem[1630] <= 8'b00101100; fmem[1631] <= 8'b00101100; fmem[1632] <= 8'b00000000; fmem[1633] <= 8'b00000000; fmem[1634] <= 8'b01111111; fmem[1635] <= 8'b01111111; fmem[1636] <= 8'b00000000; fmem[1637] <= 8'b01101111; fmem[1638] <= 8'b00101100; fmem[1639] <= 8'b00101100; fmem[1640] <= 8'b00101100; fmem[1641] <= 8'b00101100; fmem[1642] <= 8'b00101100; fmem[1643] <= 8'b00101100; fmem[1644] <= 8'b00101100; fmem[1645] <= 8'b00101100; fmem[1646] <= 8'b00101100; fmem[1647] <= 8'b00101100; fmem[1648] <= 8'b00101100; fmem[1649] <= 8'b00101100; fmem[1650] <= 8'b01101111; fmem[1651] <= 8'b01101111; fmem[1652] <= 8'b00000000; fmem[1653] <= 8'b01101111; fmem[1654] <= 8'b00101100; fmem[1655] <= 8'b00101100; fmem[1656] <= 8'b00101100; fmem[1657] <= 8'b00101100; fmem[1658] <= 8'b00101100; fmem[1659] <= 8'b00101111; fmem[1660] <= 8'b00101111; fmem[1661] <= 8'b00101100; fmem[1662] <= 8'b00101100; fmem[1663] <= 8'b00101100; fmem[1664] <= 8'b00011000; fmem[1665] <= 8'b00011000; fmem[1666] <= 8'b00011111; fmem[1667] <= 8'b00011111; fmem[1668] <= 8'b00011000; fmem[1669] <= 8'b00011111; fmem[1670] <= 8'b00011000; fmem[1671] <= 8'b00011000; fmem[1672] <= 8'b00101100; fmem[1673] <= 8'b00101100; fmem[1674] <= 8'b00101100; fmem[1675] <= 8'b01101100; fmem[1676] <= 8'b01101100; fmem[1677] <= 8'b00101100; fmem[1678] <= 8'b00101100; fmem[1679] <= 8'b00101100; fmem[1680] <= 8'b00011000; fmem[1681] <= 8'b00011000; fmem[1682] <= 8'b01111000; fmem[1683] <= 8'b01111000; fmem[1684] <= 8'b00011000; fmem[1685] <= 8'b01111000; fmem[1686] <= 8'b00011000; fmem[1687] <= 8'b00011000; fmem[1688] <= 8'b00000000; fmem[1689] <= 8'b00000000; fmem[1690] <= 8'b00001111; fmem[1691] <= 8'b00011111; fmem[1692] <= 8'b00011000; fmem[1693] <= 8'b00011111; fmem[1694] <= 8'b00011000; fmem[1695] <= 8'b00011000; fmem[1696] <= 8'b00000000; fmem[1697] <= 8'b00000000; fmem[1698] <= 8'b00000000; fmem[1699] <= 8'b00011111; fmem[1700] <= 8'b00111111; fmem[1701] <= 8'b00101100; fmem[1702] <= 8'b00101100; fmem[1703] <= 8'b00101100; fmem[1704] <= 8'b00000000; fmem[1705] <= 8'b00000000; fmem[1706] <= 8'b00000000; fmem[1707] <= 8'b01111100; fmem[1708] <= 8'b01111100; fmem[1709] <= 8'b00101100; fmem[1710] <= 8'b00101100; fmem[1711] <= 8'b00101100; fmem[1712] <= 8'b00000000; fmem[1713] <= 8'b00000000; fmem[1714] <= 8'b01111000; fmem[1715] <= 8'b01111000; fmem[1716] <= 8'b00011000; fmem[1717] <= 8'b01111000; fmem[1718] <= 8'b00011000; fmem[1719] <= 8'b00011000; fmem[1720] <= 8'b00011000; fmem[1721] <= 8'b00011000; fmem[1722] <= 8'b01111111; fmem[1723] <= 8'b01111111; fmem[1724] <= 8'b00011000; fmem[1725] <= 8'b01111111; fmem[1726] <= 8'b00011000; fmem[1727] <= 8'b00011000; fmem[1728] <= 8'b00101100; fmem[1729] <= 8'b00101100; fmem[1730] <= 8'b00101100; fmem[1731] <= 8'b01111111; fmem[1732] <= 8'b01111111; fmem[1733] <= 8'b00101100; fmem[1734] <= 8'b00101100; fmem[1735] <= 8'b00101100; fmem[1736] <= 8'b00011000; fmem[1737] <= 8'b00011000; fmem[1738] <= 8'b00011000; fmem[1739] <= 8'b00011111; fmem[1740] <= 8'b00011111; fmem[1741] <= 8'b00000000; fmem[1742] <= 8'b00000000; fmem[1743] <= 8'b00000000; fmem[1744] <= 8'b00000000; fmem[1745] <= 8'b00000000; fmem[1746] <= 8'b00000000; fmem[1747] <= 8'b01111000; fmem[1748] <= 8'b01111000; fmem[1749] <= 8'b00011000; fmem[1750] <= 8'b00011000; fmem[1751] <= 8'b00011000; fmem[1752] <= 8'b01111111; fmem[1753] <= 8'b01111111; fmem[1754] <= 8'b01111111; fmem[1755] <= 8'b01111111; fmem[1756] <= 8'b01111111; fmem[1757] <= 8'b01111111; fmem[1758] <= 8'b01111111; fmem[1759] <= 8'b01111111; fmem[1760] <= 8'b01110000; fmem[1761] <= 8'b01110000; fmem[1762] <= 8'b01110000; fmem[1763] <= 8'b01110000; fmem[1764] <= 8'b01110000; fmem[1765] <= 8'b01110000; fmem[1766] <= 8'b01110000; fmem[1767] <= 8'b01110000; fmem[1768] <= 8'b01111111; fmem[1769] <= 8'b01111111; fmem[1770] <= 8'b01111111; fmem[1771] <= 8'b01111111; fmem[1772] <= 8'b00000000; fmem[1773] <= 8'b00000000; fmem[1774] <= 8'b00000000; fmem[1775] <= 8'b00000000; fmem[1776] <= 8'b00000000; fmem[1777] <= 8'b00000000; fmem[1778] <= 8'b00000000; fmem[1779] <= 8'b00000000; fmem[1780] <= 8'b01111111; fmem[1781] <= 8'b01111111; fmem[1782] <= 8'b01111111; fmem[1783] <= 8'b01111111; fmem[1784] <= 8'b00001111; fmem[1785] <= 8'b00001111; fmem[1786] <= 8'b00001111; fmem[1787] <= 8'b00001111; fmem[1788] <= 8'b00001111; fmem[1789] <= 8'b00001111; fmem[1790] <= 8'b00001111; fmem[1791] <= 8'b00001111; fmem[1792] <= 8'b00000000; fmem[1793] <= 8'b00111000; fmem[1794] <= 8'b01111100; fmem[1795] <= 8'b01000100; fmem[1796] <= 8'b01101100; fmem[1797] <= 8'b00111100; fmem[1798] <= 8'b01111000; fmem[1799] <= 8'b01000100; fmem[1800] <= 8'b00000000; fmem[1801] <= 8'b01111110; fmem[1802] <= 8'b01111111; fmem[1803] <= 8'b00100001; fmem[1804] <= 8'b01100101; fmem[1805] <= 8'b01001111; fmem[1806] <= 8'b01111010; fmem[1807] <= 8'b00110000; fmem[1808] <= 8'b00000000; fmem[1809] <= 8'b01000001; fmem[1810] <= 8'b01111111; fmem[1811] <= 8'b01111111; fmem[1812] <= 8'b01000001; fmem[1813] <= 8'b00000001; fmem[1814] <= 8'b00000011; fmem[1815] <= 8'b00000111; fmem[1816] <= 8'b00000000; fmem[1817] <= 8'b01001100; fmem[1818] <= 8'b01100110; fmem[1819] <= 8'b00111110; fmem[1820] <= 8'b00000110; fmem[1821] <= 8'b01111110; fmem[1822] <= 8'b01100110; fmem[1823] <= 8'b00000010; fmem[1824] <= 8'b00000000; fmem[1825] <= 8'b01000001; fmem[1826] <= 8'b01100011; fmem[1827] <= 8'b01110111; fmem[1828] <= 8'b01011101; fmem[1829] <= 8'b01001001; fmem[1830] <= 8'b01100011; fmem[1831] <= 8'b01100011; fmem[1832] <= 8'b00000000; fmem[1833] <= 8'b00111000; fmem[1834] <= 8'b01111100; fmem[1835] <= 8'b01000100; fmem[1836] <= 8'b01111100; fmem[1837] <= 8'b00111100; fmem[1838] <= 8'b00000100; fmem[1839] <= 8'b00000100; fmem[1840] <= 8'b00000000; fmem[1841] <= 8'b01000000; fmem[1842] <= 8'b01111100; fmem[1843] <= 8'b00111100; fmem[1844] <= 8'b01000000; fmem[1845] <= 8'b01100000; fmem[1846] <= 8'b00111100; fmem[1847] <= 8'b01111100; fmem[1848] <= 8'b00000000; fmem[1849] <= 8'b00001100; fmem[1850] <= 8'b00000110; fmem[1851] <= 8'b00111110; fmem[1852] <= 8'b01110110; fmem[1853] <= 8'b01100110; fmem[1854] <= 8'b00000110; fmem[1855] <= 8'b00000010; fmem[1856] <= 8'b00000000; fmem[1857] <= 8'b00001000; fmem[1858] <= 8'b00011100; fmem[1859] <= 8'b01010101; fmem[1860] <= 8'b01111111; fmem[1861] <= 8'b01010101; fmem[1862] <= 8'b00011100; fmem[1863] <= 8'b00001000; fmem[1864] <= 8'b00000000; fmem[1865] <= 8'b00011100; fmem[1866] <= 8'b00111110; fmem[1867] <= 8'b01101011; fmem[1868] <= 8'b01001001; fmem[1869] <= 8'b01101011; fmem[1870] <= 8'b00111110; fmem[1871] <= 8'b00011100; fmem[1872] <= 8'b00000000; fmem[1873] <= 8'b01101100; fmem[1874] <= 8'b01011110; fmem[1875] <= 8'b01110011; fmem[1876] <= 8'b00000001; fmem[1877] <= 8'b01110011; fmem[1878] <= 8'b01011110; fmem[1879] <= 8'b01101100; fmem[1880] <= 8'b00000000; fmem[1881] <= 8'b00110000; fmem[1882] <= 8'b01111010; fmem[1883] <= 8'b01000111; fmem[1884] <= 8'b01001101; fmem[1885] <= 8'b01111001; fmem[1886] <= 8'b00110000; fmem[1887] <= 8'b00000000; fmem[1888] <= 8'b00000000; fmem[1889] <= 8'b00111100; fmem[1890] <= 8'b01100110; fmem[1891] <= 8'b01110110; fmem[1892] <= 8'b00111100; fmem[1893] <= 8'b01101110; fmem[1894] <= 8'b01100110; fmem[1895] <= 8'b00111100; fmem[1896] <= 8'b00000000; fmem[1897] <= 8'b00111000; fmem[1898] <= 8'b01111100; fmem[1899] <= 8'b01100100; fmem[1900] <= 8'b01010100; fmem[1901] <= 8'b01001100; fmem[1902] <= 8'b01111110; fmem[1903] <= 8'b00111011; fmem[1904] <= 8'b00000000; fmem[1905] <= 8'b00000000; fmem[1906] <= 8'b00111000; fmem[1907] <= 8'b01111100; fmem[1908] <= 8'b01010100; fmem[1909] <= 8'b01010100; fmem[1910] <= 8'b01010100; fmem[1911] <= 8'b00000000; fmem[1912] <= 8'b00000000; fmem[1913] <= 8'b01111110; fmem[1914] <= 8'b01111111; fmem[1915] <= 8'b00000001; fmem[1916] <= 8'b00000001; fmem[1917] <= 8'b01111111; fmem[1918] <= 8'b01111110; fmem[1919] <= 8'b00000000; fmem[1920] <= 8'b00000000; fmem[1921] <= 8'b00101010; fmem[1922] <= 8'b00101010; fmem[1923] <= 8'b00101010; fmem[1924] <= 8'b00101010; fmem[1925] <= 8'b00101010; fmem[1926] <= 8'b00101010; fmem[1927] <= 8'b00000000; fmem[1928] <= 8'b00000000; fmem[1929] <= 8'b01000100; fmem[1930] <= 8'b01000100; fmem[1931] <= 8'b01011111; fmem[1932] <= 8'b01011111; fmem[1933] <= 8'b01000100; fmem[1934] <= 8'b01000100; fmem[1935] <= 8'b00000000; fmem[1936] <= 8'b00000000; fmem[1937] <= 8'b01010001; fmem[1938] <= 8'b01011011; fmem[1939] <= 8'b01001010; fmem[1940] <= 8'b01001110; fmem[1941] <= 8'b01000100; fmem[1942] <= 8'b01000100; fmem[1943] <= 8'b00000000; fmem[1944] <= 8'b00000000; fmem[1945] <= 8'b01000100; fmem[1946] <= 8'b01000100; fmem[1947] <= 8'b01001110; fmem[1948] <= 8'b01001010; fmem[1949] <= 8'b01011011; fmem[1950] <= 8'b01010001; fmem[1951] <= 8'b00000000; fmem[1952] <= 8'b00000000; fmem[1953] <= 8'b00000000; fmem[1954] <= 8'b00000000; fmem[1955] <= 8'b01111110; fmem[1956] <= 8'b01111111; fmem[1957] <= 8'b00000001; fmem[1958] <= 8'b00000111; fmem[1959] <= 8'b00000010; fmem[1960] <= 8'b00100000; fmem[1961] <= 8'b01110000; fmem[1962] <= 8'b01000000; fmem[1963] <= 8'b01111111; fmem[1964] <= 8'b00111111; fmem[1965] <= 8'b00000000; fmem[1966] <= 8'b00000000; fmem[1967] <= 8'b00000000; fmem[1968] <= 8'b00000000; fmem[1969] <= 8'b00001000; fmem[1970] <= 8'b00001000; fmem[1971] <= 8'b01101011; fmem[1972] <= 8'b01101011; fmem[1973] <= 8'b00001000; fmem[1974] <= 8'b00001000; fmem[1975] <= 8'b00000000; fmem[1976] <= 8'b00000000; fmem[1977] <= 8'b00100100; fmem[1978] <= 8'b00110110; fmem[1979] <= 8'b00010010; fmem[1980] <= 8'b00110110; fmem[1981] <= 8'b00100100; fmem[1982] <= 8'b00110110; fmem[1983] <= 8'b00010010; fmem[1984] <= 8'b00000000; fmem[1985] <= 8'b00000000; fmem[1986] <= 8'b00000110; fmem[1987] <= 8'b00001111; fmem[1988] <= 8'b00001001; fmem[1989] <= 8'b00001111; fmem[1990] <= 8'b00000110; fmem[1991] <= 8'b00000000; fmem[1992] <= 8'b00000000; fmem[1993] <= 8'b00000000; fmem[1994] <= 8'b00001000; fmem[1995] <= 8'b00011100; fmem[1996] <= 8'b00011100; fmem[1997] <= 8'b00001000; fmem[1998] <= 8'b00000000; fmem[1999] <= 8'b00000000; fmem[2000] <= 8'b00000000; fmem[2001] <= 8'b00000000; fmem[2002] <= 8'b00000000; fmem[2003] <= 8'b00001000; fmem[2004] <= 8'b00001000; fmem[2005] <= 8'b00000000; fmem[2006] <= 8'b00000000; fmem[2007] <= 8'b00000000; fmem[2008] <= 8'b00000000; fmem[2009] <= 8'b00010000; fmem[2010] <= 8'b00111000; fmem[2011] <= 8'b01100000; fmem[2012] <= 8'b01110000; fmem[2013] <= 8'b00011100; fmem[2014] <= 8'b00000111; fmem[2015] <= 8'b00000001; fmem[2016] <= 8'b00000000; fmem[2017] <= 8'b00000001; fmem[2018] <= 8'b00011111; fmem[2019] <= 8'b00011111; fmem[2020] <= 8'b00000010; fmem[2021] <= 8'b00000001; fmem[2022] <= 8'b00011111; fmem[2023] <= 8'b00011110; fmem[2024] <= 8'b00000000; fmem[2025] <= 8'b00010010; fmem[2026] <= 8'b00011011; fmem[2027] <= 8'b00011001; fmem[2028] <= 8'b00011101; fmem[2029] <= 8'b00010111; fmem[2030] <= 8'b00010010; fmem[2031] <= 8'b00000000; fmem[2032] <= 8'b00000000; fmem[2033] <= 8'b00000000; fmem[2034] <= 8'b00111100; fmem[2035] <= 8'b00111100; fmem[2036] <= 8'b00111100; fmem[2037] <= 8'b00111100; fmem[2038] <= 8'b00000000; fmem[2039] <= 8'b00000000; fmem[2040] <= 0; fmem[2041] <= 0; fmem[2042] <= 0; fmem[2043] <= 0; fmem[2044] <= 0; fmem[2045] <= 0; fmem[2046] <= 0; fmem[2047] <= 0; end endmodule /* Produced by NSL Core(version=20110302), IP ARCH, Inc. Sun Dec 25 19:49:01 2011 Licensed to :LIMITED EVALUATION USER: */ module from_ctrl ( p_reset , m_clock , i_word_adrs , i_line_adrs , i_code_num , fi_write_word , fi_delete_word , fi_delete_line , fi_delete_display , fi_slide_line , o_vram_adrs , o_vram_wdata , i_vram_rdata , fo_write_vram , fo_read_vram , busy_call ); input p_reset, m_clock; input [5:0] i_word_adrs; input [4:0] i_line_adrs; input [7:0] i_code_num; input fi_write_word; input fi_delete_word; input fi_delete_line; input fi_delete_display; input fi_slide_line; output [13:0] o_vram_adrs; output [7:0] o_vram_wdata; input [7:0] i_vram_rdata; output fo_write_vram; output fo_read_vram; output busy_call; wire [7:0] w_code_num; wire [63:0] w_font_data; wire [5:0] w_word_adrs; wire [4:0] w_line_adrs; wire [13:0] w_vram_adrs; wire fs_font_read; wire fs_adrs_exec; wire fs_complete_call; wire [13:0] w_line_value; reg [63:0] r_word; reg [13:0] r_start_adrs; reg [13:0] r_cnt; reg busy_flag; wire [13:0] _net_10; wire [13:0] _net_13; wire [13:0] _net_16; wire [13:0] _net_19; wire [7:0] _U_FONT_ROM_i_code_num; wire [63:0] _U_FONT_ROM_o_font_data; wire _U_FONT_ROM_fi_font_read; wire _U_FONT_ROM_p_reset; wire _U_FONT_ROM_m_clock; wire _net_20; wire _net_21; wire _net_22; reg _reg_23; reg _reg_24; reg _reg_25; reg _reg_26; reg _reg_27; reg _reg_28; wire _net_29; wire _reg_24_goto; wire _net_30; wire _reg_26_goin; wire _net_31; wire _net_32; wire _reg_26_goto; wire _net_33; wire _reg_23_goin; wire _net_34; wire _net_35; wire _net_36; wire _net_37; wire _net_38; wire _net_39; wire _net_40; wire _net_41; wire _net_42; wire _net_43; wire _net_44; reg _reg_45; reg _reg_46; reg _reg_47; reg _reg_48; reg _reg_49; wire _net_50; wire _reg_46_goto; wire _net_51; wire _reg_47_goin; wire _net_52; wire _net_53; wire _reg_47_goto; wire _net_54; wire _reg_45_goin; wire _net_55; wire _net_56; wire _net_57; wire _net_58; wire _net_59; wire _net_60; wire _net_61; wire _net_62; wire _net_63; reg _reg_64; reg _reg_65; reg _reg_66; reg _reg_67; reg _reg_68; wire _net_69; wire _reg_65_goto; wire _net_70; wire _reg_66_goin; wire _net_71; wire _net_72; wire _reg_66_goto; wire _net_73; wire _reg_64_goin; wire _net_74; wire _net_75; wire _net_76; wire _net_77; wire _net_78; wire _net_79; wire _net_80; wire _net_81; wire _net_82; reg _reg_83; reg _reg_84; reg _reg_85; wire _net_86; wire _reg_84_goto; wire _net_87; wire _reg_83_goin; wire _net_88; wire _net_89; wire _net_90; wire _net_91; wire _net_92; wire _net_93; wire _reg_84_goin; wire _net_94; wire _net_95; wire _net_96; wire _net_97; wire _net_98; wire _net_99; wire _net_100; wire _net_101; wire _net_102; wire _net_103; wire _net_104; wire _net_105; wire _net_106; wire _net_107; wire _net_108; wire _net_109; wire _net_110; wire _net_111; wire _net_112; wire _net_113; wire _net_114; wire _net_115; wire _net_116; wire _net_117; wire _net_118; wire _net_119; wire _net_120; wire _net_121; wire _net_122; wire _net_123; wire _net_124; wire _net_125; wire _net_126; wire _net_127; wire _net_128; wire _net_129; wire _net_130; wire _net_131; wire _net_132; wire _net_133; wire _net_134; wire _net_135; wire _net_136; wire _net_137; wire _net_138; wire _net_139; wire _net_140; wire _net_141; wire _net_142; wire _net_143; wire _net_144; wire _net_145; wire _net_146; wire _net_147; wire _net_148; wire _net_149; wire _net_150; wire _net_151; wire _net_152; wire _net_153; wire _net_154; wire _net_155; wire _net_156; wire _net_157; wire _net_158; wire _net_159; font_rom U_FONT_ROM (.p_reset(p_reset), .m_clock(m_clock), .fi_font_read(_U_FONT_ROM_fi_font_read), .o_font_data(_U_FONT_ROM_o_font_data), .i_code_num(_U_FONT_ROM_i_code_num)); assign w_code_num = i_code_num; assign w_font_data = _U_FONT_ROM_o_font_data; assign w_word_adrs = ((_net_78)?6'b000000:6'b0)| ((_net_59|_net_39)?i_word_adrs:6'b0); assign w_line_adrs = i_line_adrs; assign w_vram_adrs = (w_line_value)+({8'b00000000,w_word_adrs}); assign fs_font_read = _net_38; assign fs_adrs_exec = _net_78|_net_59|_net_39; assign fs_complete_call = _reg_83|_reg_64|_reg_45|_reg_23; assign w_line_value = ((_net_159)?14'b10010001000000:14'b0)| ((_net_158)?14'b00000000000000:14'b0)| ((_net_156)?14'b00000101000000:14'b0)| ((_net_154)?14'b00001010000000:14'b0)| ((_net_152)?14'b00001111000000:14'b0)| ((_net_150)?14'b00010100000000:14'b0)| ((_net_148)?14'b00011001000000:14'b0)| ((_net_146)?14'b00011110000000:14'b0)| ((_net_144)?14'b00100011000000:14'b0)| ((_net_142)?14'b00101000000000:14'b0)| ((_net_140)?14'b00101101000000:14'b0)| ((_net_138)?14'b00110010000000:14'b0)| ((_net_136)?14'b00110111000000:14'b0)| ((_net_134)?14'b00111100000000:14'b0)| ((_net_132)?14'b01000001000000:14'b0)| ((_net_130)?14'b01000110000000:14'b0)| ((_net_128)?14'b01001011000000:14'b0)| ((_net_126)?14'b01010000000000:14'b0)| ((_net_124)?14'b01010101000000:14'b0)| ((_net_122)?14'b01011010000000:14'b0)| ((_net_120)?14'b01011111000000:14'b0)| ((_net_118)?14'b01100100000000:14'b0)| ((_net_116)?14'b01101001000000:14'b0)| ((_net_114)?14'b01101110000000:14'b0)| ((_net_112)?14'b01110011000000:14'b0)| ((_net_110)?14'b01111000000000:14'b0)| ((_net_108)?14'b01111101000000:14'b0)| ((_net_106)?14'b10000010000000:14'b0)| ((_net_104)?14'b10000111000000:14'b0)| ((_net_102)?14'b10001100000000:14'b0); assign _net_10 = (r_cnt)+(14'b00000000000001); assign _net_13 = (r_cnt)+(14'b00000000000001); assign _net_16 = (r_cnt)+(14'b00000000000001); assign _net_19 = (r_cnt)+(14'b00000000000001); assign _U_FONT_ROM_i_code_num = w_code_num; assign _U_FONT_ROM_fi_font_read = fs_font_read; assign _net_20 = ((((fi_write_word|fi_delete_word)|fi_delete_line)|fi_delete_display)|fi_slide_line)|busy_flag; assign _net_21 = (((fi_write_word|fi_delete_word)|fi_delete_line)|fi_delete_display)|fi_slide_line; assign _net_22 = (~_net_21)&fs_complete_call; assign _net_29 = (_net_10) <= (14'b00000000000111); assign _reg_24_goto = _net_30; assign _net_30 = _reg_24&_net_29; assign _reg_26_goin = _net_31; assign _net_31 = _reg_24&_net_29; assign _net_32 = ~((r_cnt) <= (14'b00000000000111)); assign _reg_26_goto = _net_33; assign _net_33 = _reg_26&_net_32; assign _reg_23_goin = _net_34; assign _net_34 = _reg_26&_net_32; assign _net_35 = _reg_26&(~_net_32); assign _net_36 = _reg_26&(~_net_32); assign _net_37 = _reg_26&(~_net_32); assign _net_38 = fi_write_word|_reg_28; assign _net_39 = fi_write_word|_reg_28; assign _net_40 = fi_write_word|_reg_27|_reg_28; assign _net_41 = _reg_26_goin|_reg_26|_reg_27; assign _net_42 = _reg_26_goin|_reg_25|_reg_26; assign _net_43 = _reg_26_goin|_reg_24|_reg_25; assign _net_44 = _reg_23_goin|_reg_23|_reg_24; assign _net_50 = (_net_13) <= (14'b00000000000111); assign _reg_46_goto = _net_51; assign _net_51 = _reg_46&_net_50; assign _reg_47_goin = _net_52; assign _net_52 = _reg_46&_net_50; assign _net_53 = ~((r_cnt) <= (14'b00000000000111)); assign _reg_47_goto = _net_54; assign _net_54 = _reg_47&_net_53; assign _reg_45_goin = _net_55; assign _net_55 = _reg_47&_net_53; assign _net_56 = _reg_47&(~_net_53); assign _net_57 = _reg_47&(~_net_53); assign _net_58 = _reg_47&(~_net_53); assign _net_59 = fi_delete_word|_reg_49; assign _net_60 = fi_delete_word|_reg_48|_reg_49; assign _net_61 = _reg_47_goin|_reg_47|_reg_48; assign _net_62 = _reg_47_goin|_reg_46|_reg_47; assign _net_63 = _reg_45_goin|_reg_45|_reg_46; assign _net_69 = (_net_16) < (14'b00000101000000); assign _reg_65_goto = _net_70; assign _net_70 = _reg_65&_net_69; assign _reg_66_goin = _net_71; assign _net_71 = _reg_65&_net_69; assign _net_72 = ~((r_cnt) < (14'b00000101000000)); assign _reg_66_goto = _net_73; assign _net_73 = _reg_66&_net_72; assign _reg_64_goin = _net_74; assign _net_74 = _reg_66&_net_72; assign _net_75 = _reg_66&(~_net_72); assign _net_76 = _reg_66&(~_net_72); assign _net_77 = _reg_66&(~_net_72); assign _net_78 = fi_delete_line|_reg_68; assign _net_79 = fi_delete_line|_reg_67|_reg_68; assign _net_80 = _reg_66_goin|_reg_66|_reg_67; assign _net_81 = _reg_66_goin|_reg_65|_reg_66; assign _net_82 = _reg_64_goin|_reg_64|_reg_65; assign _net_86 = ~((r_cnt) < (14'b10010110000000)); assign _reg_84_goto = _net_93|_net_87; assign _net_87 = _reg_84&_net_86; assign _reg_83_goin = _net_88; assign _net_88 = _reg_84&_net_86; assign _net_89 = _reg_84&(~_net_86); assign _net_90 = _reg_84&(~_net_86); assign _net_91 = (_net_19) < (14'b10010110000000); assign _net_92 = _reg_84&(~_net_86); assign _net_93 = (_reg_84&(~_net_86))&_net_91; assign _reg_84_goin = _net_94; assign _net_94 = (_reg_84&(~_net_86))&_net_91; assign _net_95 = _reg_84&(~_net_86); assign _net_96 = _reg_84&(~_net_86); assign _net_97 = _reg_84&(~_net_86); assign _net_98 = fi_delete_display|_reg_85; assign _net_99 = (_reg_84_goin|fi_delete_display)|_reg_84|_reg_85; assign _net_100 = _reg_83_goin|_reg_83|_reg_84; assign _net_101 = (w_line_adrs)==(5'b11100); assign _net_102 = fs_adrs_exec&_net_101; assign _net_103 = (w_line_adrs)==(5'b11011); assign _net_104 = fs_adrs_exec&_net_103; assign _net_105 = (w_line_adrs)==(5'b11010); assign _net_106 = fs_adrs_exec&_net_105; assign _net_107 = (w_line_adrs)==(5'b11001); assign _net_108 = fs_adrs_exec&_net_107; assign _net_109 = (w_line_adrs)==(5'b11000); assign _net_110 = fs_adrs_exec&_net_109; assign _net_111 = (w_line_adrs)==(5'b10111); assign _net_112 = fs_adrs_exec&_net_111; assign _net_113 = (w_line_adrs)==(5'b10110); assign _net_114 = fs_adrs_exec&_net_113; assign _net_115 = (w_line_adrs)==(5'b10101); assign _net_116 = fs_adrs_exec&_net_115; assign _net_117 = (w_line_adrs)==(5'b10100); assign _net_118 = fs_adrs_exec&_net_117; assign _net_119 = (w_line_adrs)==(5'b10011); assign _net_120 = fs_adrs_exec&_net_119; assign _net_121 = (w_line_adrs)==(5'b10010); assign _net_122 = fs_adrs_exec&_net_121; assign _net_123 = (w_line_adrs)==(5'b10001); assign _net_124 = fs_adrs_exec&_net_123; assign _net_125 = (w_line_adrs)==(5'b10000); assign _net_126 = fs_adrs_exec&_net_125; assign _net_127 = (w_line_adrs)==(5'b01111); assign _net_128 = fs_adrs_exec&_net_127; assign _net_129 = (w_line_adrs)==(5'b01110); assign _net_130 = fs_adrs_exec&_net_129; assign _net_131 = (w_line_adrs)==(5'b01101); assign _net_132 = fs_adrs_exec&_net_131; assign _net_133 = (w_line_adrs)==(5'b01100); assign _net_134 = fs_adrs_exec&_net_133; assign _net_135 = (w_line_adrs)==(5'b01011); assign _net_136 = fs_adrs_exec&_net_135; assign _net_137 = (w_line_adrs)==(5'b01010); assign _net_138 = fs_adrs_exec&_net_137; assign _net_139 = (w_line_adrs)==(5'b01001); assign _net_140 = fs_adrs_exec&_net_139; assign _net_141 = (w_line_adrs)==(5'b01000); assign _net_142 = fs_adrs_exec&_net_141; assign _net_143 = (w_line_adrs)==(5'b00111); assign _net_144 = fs_adrs_exec&_net_143; assign _net_145 = (w_line_adrs)==(5'b00110); assign _net_146 = fs_adrs_exec&_net_145; assign _net_147 = (w_line_adrs)==(5'b00101); assign _net_148 = fs_adrs_exec&_net_147; assign _net_149 = (w_line_adrs)==(5'b00100); assign _net_150 = fs_adrs_exec&_net_149; assign _net_151 = (w_line_adrs)==(5'b00011); assign _net_152 = fs_adrs_exec&_net_151; assign _net_153 = (w_line_adrs)==(5'b00010); assign _net_154 = fs_adrs_exec&_net_153; assign _net_155 = (w_line_adrs)==(5'b00001); assign _net_156 = fs_adrs_exec&_net_155; assign _net_157 = (w_line_adrs)==(5'b00000); assign _net_158 = fs_adrs_exec&_net_157; assign _net_159 = ((((((((((((((((((((((((((((fs_adrs_exec&(~_net_101))&(~_net_103))&(~_net_105))&(~_net_107))&(~_net_109))&(~_net_111))&(~_net_113))&(~_net_115))&(~_net_117))&(~_net_119))&(~_net_121))&(~_net_123))&(~_net_125))&(~_net_127))&(~_net_129))&(~_net_131))&(~_net_133))&(~_net_135))&(~_net_137))&(~_net_139))&(~_net_141))&(~_net_143))&(~_net_145))&(~_net_147))&(~_net_149))&(~_net_151))&(~_net_153))&(~_net_155))&(~_net_157); assign o_vram_adrs = ((_net_97)?r_cnt:14'b0)| ((_net_77|_net_58|_net_37|_reg_25)?r_start_adrs:14'b0); assign o_vram_wdata = ((_net_96|_net_76|_net_57|_net_36)?8'b00000000:8'b0)| ((_reg_25)?r_word[63:56]:8'b0); assign fo_write_vram = _net_95|_net_75|_net_56|_net_35|_reg_25; assign fo_read_vram = 1'b0; assign busy_call = _net_20; always @(posedge m_clock or posedge p_reset) begin if (p_reset) r_word <= 64'b0000000000000000000000000000000000000000000000000000000000000000; else if ((_net_38)|(_reg_24)) r_word <= ((_net_38) ?w_font_data:64'b0)| ((_reg_24) ?(r_word)<<(8):64'b0); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) r_start_adrs <= 14'b00000000000000; else if ((_reg_65)|(_net_78|_net_59|_net_39)|(_reg_46|_reg_24)) r_start_adrs <= ((_reg_65) ?(r_start_adrs)+(14'b00000000000001):14'b0)| ((_net_78|_net_59|_net_39) ?w_vram_adrs:14'b0)| ((_reg_46|_reg_24) ?(r_start_adrs)+(14'b00000000101000):14'b0); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) r_cnt <= 14'b00000000000000; else if ((_net_89)|(_reg_65)|(_reg_46)|(_net_98|_reg_67|_reg_48|_reg_27)|(_reg_24)) r_cnt <= ((_net_89) ?_net_19:14'b0)| ((_reg_65) ?_net_16:14'b0)| ((_reg_46) ?_net_13:14'b0)| ((_net_98|_reg_67|_reg_48|_reg_27) ?14'b00000000000000:14'b0)| ((_reg_24) ?_net_10:14'b0); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) busy_flag <= 1'b0; else if ((_net_22)|(_net_21)) busy_flag <= ((_net_22) ?1'b0:1'b0)| ((_net_21) ?1'b1:1'b0); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_23 <= 1'b0; else if ((_net_44)) _reg_23 <= _reg_23_goin|(_reg_24&(~_reg_24_goto)); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_24 <= 1'b0; else if ((_net_43)) _reg_24 <= _reg_25; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_25 <= 1'b0; else if ((_net_42)) _reg_25 <= _reg_26&(~_reg_26_goto); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_26 <= 1'b0; else if ((_net_41)) _reg_26 <= _reg_26_goin|_reg_27; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_27 <= 1'b0; else if ((_net_40)) _reg_27 <= _reg_28|fi_write_word; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_28 <= 1'b0; else if ((_reg_28)) _reg_28 <= 1'b0; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_45 <= 1'b0; else if ((_net_63)) _reg_45 <= _reg_45_goin|(_reg_46&(~_reg_46_goto)); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_46 <= 1'b0; else if ((_net_62)) _reg_46 <= _reg_47&(~_reg_47_goto); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_47 <= 1'b0; else if ((_net_61)) _reg_47 <= _reg_47_goin|_reg_48; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_48 <= 1'b0; else if ((_net_60)) _reg_48 <= _reg_49|fi_delete_word; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_49 <= 1'b0; else if ((_reg_49)) _reg_49 <= 1'b0; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_64 <= 1'b0; else if ((_net_82)) _reg_64 <= _reg_64_goin|(_reg_65&(~_reg_65_goto)); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_65 <= 1'b0; else if ((_net_81)) _reg_65 <= _reg_66&(~_reg_66_goto); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_66 <= 1'b0; else if ((_net_80)) _reg_66 <= _reg_66_goin|_reg_67; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_67 <= 1'b0; else if ((_net_79)) _reg_67 <= _reg_68|fi_delete_line; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_68 <= 1'b0; else if ((_reg_68)) _reg_68 <= 1'b0; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_83 <= 1'b0; else if ((_net_100)) _reg_83 <= _reg_83_goin|(_reg_84&(~_reg_84_goto)); end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_84 <= 1'b0; else if ((_net_99)) _reg_84 <= (_reg_84_goin|_reg_85)|fi_delete_display; end always @(posedge m_clock or posedge p_reset) begin if (p_reset) _reg_85 <= 1'b0; else if ((_reg_85)) _reg_85 <= 1'b0; end endmodule /* Produced by NSL Core(version=20110302), IP ARCH, Inc. Sun Dec 25 19:49:03 2011 Licensed to :LIMITED EVALUATION USER: */