'sdram_rw:sdr_rw|wbm_we_i' == falling edge 111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011100110110000000110111111111111111110100010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111100010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000010000000000000000000000100000000000000000000000000000000011110000011000000000000000110111111111111111101000010000000000000000000000011000000100111000000000100000000100000000000000010000000000000000000000100000000000000001000000000000000011110000011000000000000001110000000000000000001010010000000000000000000000101000000100111001000000100000000000000010000000010000000000000000000000100000000000000000000000100000000011110000011000000000000001110000000000000000001010010000000000000000000000001000000100111000000000010000000100000010000000010000000000000000000000100000000000000001000000100000000011110000011000000000000001110000000010000000001010010000000000000000000000110000000100111001000000010000000000000001000000010000000000000000000000100000000000000000000000010000000011110000011000000000000001110000000010000000001010010000000000000000000000010000000100111000000000110000000100000001000000010000000000000000000000100000000000000001000000010000000011110000011000000000000001110000000001000000001010010000000000000000000000100000000100111001000000110000000000000011000000010000000000000000000000100000000000000000000000110000000011110000011000000000000001110000000001000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000000000000100000000000000001000000110000000011110000011000000000000001110000000011000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000001000000100000000000000001000000110000000011110000011000000000000001110100000011000000010100010000000000000000000000000000000101100100000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000000100000100110000000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100101001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000000010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000010000000000000001000000100000000000000000000000001000000011110000011000001000000000110100000011000000001000010000000000000001000000011000000100111000000000101000000100000000100000010000000000000001000000100000000000000001000000001000000011110000011000000000000001110000000000100000001010010000000000000001000000101000000100111001000000101000000000000010100000010000000000000001000000100000000000000000000000101000000011110000011000000000000001110000000000100000001010010000000000000001000000001000000100111000000000011000000100000010100000010000000000000001000000100000000000000001000000101000000011110000011000000000000001110000000010100000001010010000000000000001000000110000000100111001000000011000000000000001100000010000000000000001000000100000000000000000000000011000000011110000011000000000000001110000000010100000001010010000000000000001000000010000000100111000000000111000000100000001100000010000000000000001000000100000000000000001000000011000000011110000011000000000000001110000000001100000001010010000000000000001000000100000000100111001000000111000000000000011100000010000000000000001000000100000000000000000000000111000000011110000011000000000000001110100000001100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000001000000100000000000000001000000111000000011110000011000000000000001110000000011100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000000100000100000000000000001000000111000000011110000011000000000000001110100000011100000010100010000000000000001000000000000000101000100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000000000100000011100000000000000000000000000000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000100000100101100000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000000010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000000110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110000000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000010000000000000000000000100000000000000001000000111000000011110000000000000000000001110000000010000000001010010000000000000000000000111000000100100011000000000000000100000011100000010000000000000000000000110000000000000001000000111000000011110000000000000000000001110100000010000000001010010000000000000000000000011000000100100010000000100000000100000011100000010000000000000000000000100000001000000001000000111000000011110000000000000000000001110000000001000000001010010000000000000000000000101000000100100011000000100000000100000011100000010000000000000000000000110000001000000001000000111000000011110000000000000000000001110100000001000000001010010000000000000000000000001000000100100010000000010000000100000011100000010000000000000000000000100000000100000001000000111000000011110000000000000000000001110000000011000000000000010000000000000000000000110000000101100011000000010000000100000011100000010000000000000000000000110000000100000001000000111000000011110000000000000000000001110100000011000000001010010000000000000000000000010000000100100010000000110000000100000011100000010000000000000000000000100000001100000001000000111000000011110000000000000000000001110000000000100000001010010000000000000000000000100000000100100011000000110000000100000011100000010000000000000001000000110000001100000001000000111000000011110000000000000000000001110100000000100000001010010000000000000000000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000000010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000001000000000110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110000000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000010000000000000001000000100000000010000001000000111000000011110000000000000000000001110000000010100000001010010000000000000001000000111000000100100011000000001000000100000011100000010000000000000001000000110000000010000001000000111000000011110000000000000000000001110100000010100000001010010000000000000001000000011000000100100010000000101000000100000011100000010000000000000001000000100000001010000001000000111000000011110000000000000000000001110000000001100000001010010000000000000001000000101000000100100011000000101000000100000011100000010000000000000001000000110000001010000001000000111000000011110000000000000000000001110100000001100000001010010000000000000001000000001000000100100010000000011000000100000011100000010000000000000001000000100000000110000001000000111000000011110000000000000000000001110000000011100000000000010000000000000001000000110000000101100011000000011000000100000011100000010000000000000001000000110000000110000001000000111000000011110000000000000000000001110100000011100000001010010000000000000001000000010000000100100010000000111000000100000011100000010000000000000001000000100000001110000001000000111000000011110000000000000000000001110000000000010000001010010000000000000001000000100000000100100011000000111000000100000011100000010000000000000000100000110000001110000001000000111000000011110000000000000000000001110100000000010000001010010000000000000001000000100000000100000011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111