OSDN Git Service

定期コミット
[oca1/test.git] / VGADisplay / Verilog / vga_top.v
index 9a1b10e..a29a728 100644 (file)
@@ -1,59 +1,61 @@
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Mon Jul 11 14:01:02 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Wed Jul 20 21:25:17 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp :NON PROFIT USER:\r
 */\r
 \r
-module vga_generate ( i_50clk , i_we1 , i_wadrs1 , i_wdata1 , i_we2 , i_wadrs2 , i_wdata2 , p_reset , m_clock , i_pix32_data , o_v_sync , o_h_sync , o_vga_red , o_vga_green , o_vga_blue , o_h_cnt , fi_ack_req_32dot , fo_req_32dot );\r
+module vga_generate ( i_50clk , i_wdata1 , i_wdata2 , fi_vgaram_write1 , fi_vgaram_write2 , fi_fifo1_rst , fi_fifo2_rst , p_reset , m_clock , o_v_sync , o_h_sync , o_vga_red , o_vga_green , o_vga_blue , o_h_cnt , o_scanline );\r
   input i_50clk;\r
-  input i_we1;\r
-  input [4:0] i_wadrs1;\r
   input [31:0] i_wdata1;\r
-  input i_we2;\r
-  input [4:0] i_wadrs2;\r
   input [31:0] i_wdata2;\r
+  input fi_vgaram_write1;\r
+  input fi_vgaram_write2;\r
+  input fi_fifo1_rst;\r
+  input fi_fifo2_rst;\r
   input p_reset;\r
   input m_clock;\r
-  input [31:0] i_pix32_data;\r
   output o_v_sync;\r
   output o_h_sync;\r
   output [3:0] o_vga_red;\r
   output [3:0] o_vga_green;\r
   output [3:0] o_vga_blue;\r
   output [9:0] o_h_cnt;\r
-  input fi_ack_req_32dot;\r
-  output fo_req_32dot;\r
+  output [9:0] o_scanline;\r
   wire fs_disp_data;\r
-  reg r_line_buff_cnt;\r
   reg r_v_sync;\r
   reg r_h_sync;\r
-  reg r_h_flg;\r
   reg r_vdata_flg;\r
   reg r_hdata_flg;\r
   reg [9:0] r_h_cnt;\r
   reg [18:0] r_v_cnt;\r
   reg [4:0] r_bit32_cnt;\r
   reg r_flg;\r
-  reg r_cnt;\r
   reg [31:0] r1;\r
   reg [31:0] r2;\r
   reg r_data_select_flag;\r
+  reg [9:0] r_scanline_cnt;\r
   wire [3:0] w_red;\r
   wire [3:0] w_green;\r
   wire [3:0] w_blue;\r
   wire w_disp_data;\r
-  wire _u_FIFO_p_reset;\r
-  wire _u_FIFO_m_clock;\r
-  wire _u_FIFO_i_we1;\r
-  wire [6:0] _u_FIFO_i_wadrs1;\r
-  wire [7:0] _u_FIFO_i_wdata1;\r
-  wire _u_FIFO_i_we2;\r
-  wire [6:0] _u_FIFO_i_wadrs2;\r
-  wire [7:0] _u_FIFO_i_wdata2;\r
-  wire [6:0] _u_FIFO_i_radrs1;\r
-  wire [7:0] _u_FIFO_o_rdasrs1;\r
-  wire [6:0] _u_FIFO_i_radrs2;\r
-  wire [7:0] _u_FIFO_o_rdasrs2;\r
-  wire _u_FIFO_i_clock;\r
+  reg r_cnt_flg;\r
+  reg r_hld_h_sync;\r
+  wire vgaram_read1;\r
+  wire vgaram_read2;\r
+  wire _u_VGARAM_p_reset;\r
+  wire _u_VGARAM_m_clock;\r
+  wire _u_VGARAM_i_we1;\r
+  wire [31:0] _u_VGARAM_i_wdata1;\r
+  wire _u_VGARAM_i_we2;\r
+  wire [31:0] _u_VGARAM_i_wdata2;\r
+  wire [31:0] _u_VGARAM_o_rddata1;\r
+  wire [31:0] _u_VGARAM_o_rddata2;\r
+  wire _u_VGARAM_i_clock;\r
+  wire _u_VGARAM_i_re1;\r
+  wire _u_VGARAM_i_re2;\r
+  wire _u_VGARAM_i_fifo1_rst;\r
+  wire _u_VGARAM_i_fifo2_rst;\r
+  wire _u_VGARAM_o_rdack1;\r
+  wire _u_VGARAM_o_rdack2;\r
   wire _net_0;\r
   wire _net_1;\r
   wire _net_2;\r
@@ -273,408 +275,474 @@ module vga_generate ( i_50clk , i_we1 , i_wadrs1 , i_wdata1 , i_we2 , i_wadrs2 ,
   wire _net_216;\r
   wire _net_217;\r
   wire _net_218;\r
-FIFO u_FIFO (.i_clock(_u_FIFO_i_clock), .o_rdasrs2(_u_FIFO_o_rdasrs2), .i_radrs2(_u_FIFO_i_radrs2), .o_rdasrs1(_u_FIFO_o_rdasrs1), .i_radrs1(_u_FIFO_i_radrs1), .i_wdata2(_u_FIFO_i_wdata2), .i_wadrs2(_u_FIFO_i_wadrs2), .i_we2(_u_FIFO_i_we2), .i_wdata1(_u_FIFO_i_wdata1), .i_wadrs1(_u_FIFO_i_wadrs1), .i_we1(_u_FIFO_i_we1), .m_clock(_u_FIFO_m_clock), .p_reset(_u_FIFO_p_reset));\r
+  wire _net_219;\r
+  wire _net_220;\r
+  wire _net_221;\r
+  wire _net_222;\r
+  wire _net_223;\r
+  wire _net_224;\r
+  wire _net_225;\r
+  wire _net_226;\r
+  wire _net_227;\r
+  wire _net_228;\r
+  wire _net_229;\r
+  wire _net_230;\r
+  wire _net_231;\r
+  wire _net_232;\r
+  wire _net_233;\r
+  wire _net_234;\r
+  wire _net_235;\r
+  wire _net_236;\r
+  wire _net_237;\r
+  wire _net_238;\r
+vga_ram u_VGARAM (.o_rdack2(_u_VGARAM_o_rdack2), .o_rdack1(_u_VGARAM_o_rdack1), .i_fifo2_rst(_u_VGARAM_i_fifo2_rst), .i_fifo1_rst(_u_VGARAM_i_fifo1_rst), .i_re2(_u_VGARAM_i_re2), .i_re1(_u_VGARAM_i_re1), .i_clock(_u_VGARAM_i_clock), .o_rddata2(_u_VGARAM_o_rddata2), .o_rddata1(_u_VGARAM_o_rddata1), .i_wdata2(_u_VGARAM_i_wdata2), .i_we2(_u_VGARAM_i_we2), .i_wdata1(_u_VGARAM_i_wdata1), .i_we1(_u_VGARAM_i_we1), .m_clock(_u_VGARAM_m_clock), .p_reset(_u_VGARAM_p_reset));\r
 \r
-   assign  fs_disp_data = _net_10;\r
-   assign  w_red = 4'b0000;\r
-   assign  w_green = 4'b0000;\r
-   assign  w_blue = ((_net_20)?4'b0000:4'b0)|\r
-    ((_net_18)?4'b1111:4'b0);\r
-   assign  w_disp_data = ((_net_218)?r2[31]:1'b0)|\r
-    ((_net_215)?r2[30]:1'b0)|\r
-    ((_net_212)?r2[29]:1'b0)|\r
-    ((_net_209)?r2[28]:1'b0)|\r
-    ((_net_206)?r2[27]:1'b0)|\r
-    ((_net_203)?r2[26]:1'b0)|\r
-    ((_net_200)?r2[25]:1'b0)|\r
-    ((_net_197)?r2[24]:1'b0)|\r
-    ((_net_194)?r2[23]:1'b0)|\r
-    ((_net_191)?r2[22]:1'b0)|\r
-    ((_net_188)?r2[21]:1'b0)|\r
-    ((_net_185)?r2[20]:1'b0)|\r
-    ((_net_182)?r2[19]:1'b0)|\r
-    ((_net_179)?r2[18]:1'b0)|\r
-    ((_net_176)?r2[17]:1'b0)|\r
-    ((_net_173)?r2[16]:1'b0)|\r
-    ((_net_170)?r2[15]:1'b0)|\r
-    ((_net_167)?r2[14]:1'b0)|\r
-    ((_net_164)?r2[13]:1'b0)|\r
-    ((_net_161)?r2[12]:1'b0)|\r
-    ((_net_158)?r2[11]:1'b0)|\r
-    ((_net_155)?r2[10]:1'b0)|\r
-    ((_net_152)?r2[9]:1'b0)|\r
-    ((_net_149)?r2[8]:1'b0)|\r
-    ((_net_146)?r2[7]:1'b0)|\r
-    ((_net_143)?r2[6]:1'b0)|\r
-    ((_net_140)?r2[5]:1'b0)|\r
-    ((_net_137)?r2[4]:1'b0)|\r
-    ((_net_134)?r2[3]:1'b0)|\r
-    ((_net_131)?r2[2]:1'b0)|\r
-    ((_net_128)?r2[1]:1'b0)|\r
-    ((_net_124)?r2[0]:1'b0)|\r
-    ((_net_121)?r1[31]:1'b0)|\r
-    ((_net_118)?r1[30]:1'b0)|\r
-    ((_net_115)?r1[29]:1'b0)|\r
-    ((_net_112)?r1[28]:1'b0)|\r
-    ((_net_109)?r1[27]:1'b0)|\r
-    ((_net_106)?r1[26]:1'b0)|\r
-    ((_net_103)?r1[25]:1'b0)|\r
-    ((_net_100)?r1[24]:1'b0)|\r
-    ((_net_97)?r1[23]:1'b0)|\r
-    ((_net_94)?r1[22]:1'b0)|\r
-    ((_net_91)?r1[21]:1'b0)|\r
-    ((_net_88)?r1[20]:1'b0)|\r
-    ((_net_85)?r1[19]:1'b0)|\r
-    ((_net_82)?r1[18]:1'b0)|\r
-    ((_net_79)?r1[17]:1'b0)|\r
-    ((_net_76)?r1[16]:1'b0)|\r
-    ((_net_73)?r1[15]:1'b0)|\r
-    ((_net_70)?r1[14]:1'b0)|\r
-    ((_net_67)?r1[13]:1'b0)|\r
-    ((_net_64)?r1[12]:1'b0)|\r
-    ((_net_61)?r1[11]:1'b0)|\r
-    ((_net_58)?r1[10]:1'b0)|\r
-    ((_net_55)?r1[9]:1'b0)|\r
-    ((_net_52)?r1[8]:1'b0)|\r
-    ((_net_49)?r1[7]:1'b0)|\r
-    ((_net_46)?r1[6]:1'b0)|\r
-    ((_net_43)?r1[5]:1'b0)|\r
-    ((_net_40)?r1[4]:1'b0)|\r
-    ((_net_37)?r1[3]:1'b0)|\r
-    ((_net_34)?r1[2]:1'b0)|\r
-    ((_net_31)?r1[1]:1'b0)|\r
-    ((_net_27)?r1[0]:1'b0);\r
-   assign  _net_0 = (r_h_cnt)==(10'b1100100000);\r
-   assign  _net_1 = (r_h_cnt)==(10'b1100001110);\r
-   assign  _net_2 = (r_h_cnt)==(10'b0010001110);\r
-   assign  _net_3 = (r_h_cnt)==(10'b0001100000);\r
-   assign  _net_4 = (((~_net_0)&(~_net_1))&(~_net_2))&(~_net_3);\r
-   assign  _net_5 = (r_v_cnt)==(19'b1100101110000011111);\r
-   assign  _net_6 = (r_v_cnt)==(19'b1100011110011011111);\r
-   assign  _net_7 = (r_v_cnt)==(19'b0000110000011011111);\r
-   assign  _net_8 = (r_v_cnt)==(19'b0000000011000111111);\r
-   assign  _net_9 = (((~_net_5)&(~_net_6))&(~_net_7))&(~_net_8);\r
-   assign  _net_10 = r_hdata_flg&r_vdata_flg;\r
-   assign  _net_11 = (((r_h_cnt) >= ((10'b0010001110)+(10'b1001100001)))&((r_h_cnt) <= (((10'b1100001110)+(10'b1001100001))+(10'b1111111111))))&((r_v_cnt) >= ((19'b0000110000011011111)+(19'b1111111111111100001)))&((r_v_cnt) <= (((19'b1100011110011011111)+(19'b1111111111111100001))+(19'b1111111111111111111)));\r
-   assign  _net_12 = (r_bit32_cnt)==(5'b00000);\r
-   assign  _net_13 = _net_11&_net_12;\r
-   assign  _net_14 = (r_bit32_cnt)==(5'b11111);\r
-   assign  _net_15 = _net_11&_net_14;\r
-   assign  _net_16 = _net_11&(~_net_14);\r
-   assign  _net_17 = ~_net_11;\r
-   assign  _net_18 = r_hdata_flg&r_vdata_flg;\r
-   assign  _net_19 = ~_net_18;\r
-   assign  _net_20 = ~_net_18;\r
-   assign  _net_21 = ~_net_18;\r
-   assign  _net_22 = fi_ack_req_32dot&r_data_select_flag;\r
-   assign  _net_23 = fi_ack_req_32dot&(~r_data_select_flag);\r
-   assign  _net_24 = ~r_flg;\r
-   assign  _net_25 = (r_bit32_cnt)==(5'b11111);\r
-   assign  _net_26 = fs_disp_data&_net_24;\r
-   assign  _net_27 = (fs_disp_data&_net_24)&_net_25;\r
-   assign  _net_28 = (fs_disp_data&_net_24)&_net_25;\r
-   assign  _net_29 = (r_bit32_cnt)==(5'b11110);\r
-   assign  _net_30 = fs_disp_data&_net_24;\r
-   assign  _net_31 = (fs_disp_data&_net_24)&_net_29;\r
-   assign  _net_32 = (r_bit32_cnt)==(5'b11101);\r
-   assign  _net_33 = fs_disp_data&_net_24;\r
-   assign  _net_34 = (fs_disp_data&_net_24)&_net_32;\r
-   assign  _net_35 = (r_bit32_cnt)==(5'b11100);\r
-   assign  _net_36 = fs_disp_data&_net_24;\r
-   assign  _net_37 = (fs_disp_data&_net_24)&_net_35;\r
-   assign  _net_38 = (r_bit32_cnt)==(5'b11011);\r
-   assign  _net_39 = fs_disp_data&_net_24;\r
-   assign  _net_40 = (fs_disp_data&_net_24)&_net_38;\r
-   assign  _net_41 = (r_bit32_cnt)==(5'b11010);\r
-   assign  _net_42 = fs_disp_data&_net_24;\r
-   assign  _net_43 = (fs_disp_data&_net_24)&_net_41;\r
-   assign  _net_44 = (r_bit32_cnt)==(5'b11001);\r
-   assign  _net_45 = fs_disp_data&_net_24;\r
-   assign  _net_46 = (fs_disp_data&_net_24)&_net_44;\r
-   assign  _net_47 = (r_bit32_cnt)==(5'b11000);\r
-   assign  _net_48 = fs_disp_data&_net_24;\r
-   assign  _net_49 = (fs_disp_data&_net_24)&_net_47;\r
-   assign  _net_50 = (r_bit32_cnt)==(5'b10111);\r
-   assign  _net_51 = fs_disp_data&_net_24;\r
-   assign  _net_52 = (fs_disp_data&_net_24)&_net_50;\r
-   assign  _net_53 = (r_bit32_cnt)==(5'b10110);\r
-   assign  _net_54 = fs_disp_data&_net_24;\r
-   assign  _net_55 = (fs_disp_data&_net_24)&_net_53;\r
-   assign  _net_56 = (r_bit32_cnt)==(5'b10101);\r
-   assign  _net_57 = fs_disp_data&_net_24;\r
-   assign  _net_58 = (fs_disp_data&_net_24)&_net_56;\r
-   assign  _net_59 = (r_bit32_cnt)==(5'b10100);\r
-   assign  _net_60 = fs_disp_data&_net_24;\r
-   assign  _net_61 = (fs_disp_data&_net_24)&_net_59;\r
-   assign  _net_62 = (r_bit32_cnt)==(5'b10011);\r
-   assign  _net_63 = fs_disp_data&_net_24;\r
-   assign  _net_64 = (fs_disp_data&_net_24)&_net_62;\r
-   assign  _net_65 = (r_bit32_cnt)==(5'b10010);\r
-   assign  _net_66 = fs_disp_data&_net_24;\r
-   assign  _net_67 = (fs_disp_data&_net_24)&_net_65;\r
-   assign  _net_68 = (r_bit32_cnt)==(5'b10001);\r
-   assign  _net_69 = fs_disp_data&_net_24;\r
-   assign  _net_70 = (fs_disp_data&_net_24)&_net_68;\r
-   assign  _net_71 = (r_bit32_cnt)==(5'b10000);\r
-   assign  _net_72 = fs_disp_data&_net_24;\r
-   assign  _net_73 = (fs_disp_data&_net_24)&_net_71;\r
-   assign  _net_74 = (r_bit32_cnt)==(5'b01111);\r
-   assign  _net_75 = fs_disp_data&_net_24;\r
-   assign  _net_76 = (fs_disp_data&_net_24)&_net_74;\r
-   assign  _net_77 = (r_bit32_cnt)==(5'b01110);\r
-   assign  _net_78 = fs_disp_data&_net_24;\r
-   assign  _net_79 = (fs_disp_data&_net_24)&_net_77;\r
-   assign  _net_80 = (r_bit32_cnt)==(5'b01101);\r
-   assign  _net_81 = fs_disp_data&_net_24;\r
-   assign  _net_82 = (fs_disp_data&_net_24)&_net_80;\r
-   assign  _net_83 = (r_bit32_cnt)==(5'b01100);\r
-   assign  _net_84 = fs_disp_data&_net_24;\r
-   assign  _net_85 = (fs_disp_data&_net_24)&_net_83;\r
-   assign  _net_86 = (r_bit32_cnt)==(5'b01011);\r
-   assign  _net_87 = fs_disp_data&_net_24;\r
-   assign  _net_88 = (fs_disp_data&_net_24)&_net_86;\r
-   assign  _net_89 = (r_bit32_cnt)==(5'b01010);\r
-   assign  _net_90 = fs_disp_data&_net_24;\r
-   assign  _net_91 = (fs_disp_data&_net_24)&_net_89;\r
-   assign  _net_92 = (r_bit32_cnt)==(5'b01001);\r
-   assign  _net_93 = fs_disp_data&_net_24;\r
-   assign  _net_94 = (fs_disp_data&_net_24)&_net_92;\r
-   assign  _net_95 = (r_bit32_cnt)==(5'b01000);\r
-   assign  _net_96 = fs_disp_data&_net_24;\r
-   assign  _net_97 = (fs_disp_data&_net_24)&_net_95;\r
-   assign  _net_98 = (r_bit32_cnt)==(5'b00111);\r
-   assign  _net_99 = fs_disp_data&_net_24;\r
-   assign  _net_100 = (fs_disp_data&_net_24)&_net_98;\r
-   assign  _net_101 = (r_bit32_cnt)==(5'b00110);\r
-   assign  _net_102 = fs_disp_data&_net_24;\r
-   assign  _net_103 = (fs_disp_data&_net_24)&_net_101;\r
-   assign  _net_104 = (r_bit32_cnt)==(5'b00101);\r
-   assign  _net_105 = fs_disp_data&_net_24;\r
-   assign  _net_106 = (fs_disp_data&_net_24)&_net_104;\r
-   assign  _net_107 = (r_bit32_cnt)==(5'b00100);\r
-   assign  _net_108 = fs_disp_data&_net_24;\r
-   assign  _net_109 = (fs_disp_data&_net_24)&_net_107;\r
-   assign  _net_110 = (r_bit32_cnt)==(5'b00011);\r
-   assign  _net_111 = fs_disp_data&_net_24;\r
-   assign  _net_112 = (fs_disp_data&_net_24)&_net_110;\r
-   assign  _net_113 = (r_bit32_cnt)==(5'b00010);\r
-   assign  _net_114 = fs_disp_data&_net_24;\r
-   assign  _net_115 = (fs_disp_data&_net_24)&_net_113;\r
-   assign  _net_116 = (r_bit32_cnt)==(5'b00001);\r
-   assign  _net_117 = fs_disp_data&_net_24;\r
-   assign  _net_118 = (fs_disp_data&_net_24)&_net_116;\r
-   assign  _net_119 = (r_bit32_cnt)==(5'b00000);\r
-   assign  _net_120 = fs_disp_data&_net_24;\r
-   assign  _net_121 = (fs_disp_data&_net_24)&_net_119;\r
-   assign  _net_122 = (r_bit32_cnt)==(5'b11111);\r
-   assign  _net_123 = fs_disp_data&(~_net_24);\r
-   assign  _net_124 = (fs_disp_data&(~_net_24))&_net_122;\r
-   assign  _net_125 = (fs_disp_data&(~_net_24))&_net_122;\r
-   assign  _net_126 = (r_bit32_cnt)==(5'b11110);\r
-   assign  _net_127 = fs_disp_data&(~_net_24);\r
-   assign  _net_128 = (fs_disp_data&(~_net_24))&_net_126;\r
-   assign  _net_129 = (r_bit32_cnt)==(5'b11101);\r
-   assign  _net_130 = fs_disp_data&(~_net_24);\r
-   assign  _net_131 = (fs_disp_data&(~_net_24))&_net_129;\r
-   assign  _net_132 = (r_bit32_cnt)==(5'b11100);\r
-   assign  _net_133 = fs_disp_data&(~_net_24);\r
-   assign  _net_134 = (fs_disp_data&(~_net_24))&_net_132;\r
-   assign  _net_135 = (r_bit32_cnt)==(5'b11011);\r
-   assign  _net_136 = fs_disp_data&(~_net_24);\r
-   assign  _net_137 = (fs_disp_data&(~_net_24))&_net_135;\r
-   assign  _net_138 = (r_bit32_cnt)==(5'b11010);\r
-   assign  _net_139 = fs_disp_data&(~_net_24);\r
-   assign  _net_140 = (fs_disp_data&(~_net_24))&_net_138;\r
-   assign  _net_141 = (r_bit32_cnt)==(5'b11001);\r
-   assign  _net_142 = fs_disp_data&(~_net_24);\r
-   assign  _net_143 = (fs_disp_data&(~_net_24))&_net_141;\r
-   assign  _net_144 = (r_bit32_cnt)==(5'b11000);\r
-   assign  _net_145 = fs_disp_data&(~_net_24);\r
-   assign  _net_146 = (fs_disp_data&(~_net_24))&_net_144;\r
-   assign  _net_147 = (r_bit32_cnt)==(5'b10111);\r
-   assign  _net_148 = fs_disp_data&(~_net_24);\r
-   assign  _net_149 = (fs_disp_data&(~_net_24))&_net_147;\r
-   assign  _net_150 = (r_bit32_cnt)==(5'b10110);\r
-   assign  _net_151 = fs_disp_data&(~_net_24);\r
-   assign  _net_152 = (fs_disp_data&(~_net_24))&_net_150;\r
-   assign  _net_153 = (r_bit32_cnt)==(5'b10101);\r
-   assign  _net_154 = fs_disp_data&(~_net_24);\r
-   assign  _net_155 = (fs_disp_data&(~_net_24))&_net_153;\r
-   assign  _net_156 = (r_bit32_cnt)==(5'b10100);\r
-   assign  _net_157 = fs_disp_data&(~_net_24);\r
-   assign  _net_158 = (fs_disp_data&(~_net_24))&_net_156;\r
-   assign  _net_159 = (r_bit32_cnt)==(5'b10011);\r
-   assign  _net_160 = fs_disp_data&(~_net_24);\r
-   assign  _net_161 = (fs_disp_data&(~_net_24))&_net_159;\r
-   assign  _net_162 = (r_bit32_cnt)==(5'b10010);\r
-   assign  _net_163 = fs_disp_data&(~_net_24);\r
-   assign  _net_164 = (fs_disp_data&(~_net_24))&_net_162;\r
-   assign  _net_165 = (r_bit32_cnt)==(5'b10001);\r
-   assign  _net_166 = fs_disp_data&(~_net_24);\r
-   assign  _net_167 = (fs_disp_data&(~_net_24))&_net_165;\r
-   assign  _net_168 = (r_bit32_cnt)==(5'b10000);\r
-   assign  _net_169 = fs_disp_data&(~_net_24);\r
-   assign  _net_170 = (fs_disp_data&(~_net_24))&_net_168;\r
-   assign  _net_171 = (r_bit32_cnt)==(5'b01111);\r
-   assign  _net_172 = fs_disp_data&(~_net_24);\r
-   assign  _net_173 = (fs_disp_data&(~_net_24))&_net_171;\r
-   assign  _net_174 = (r_bit32_cnt)==(5'b01110);\r
-   assign  _net_175 = fs_disp_data&(~_net_24);\r
-   assign  _net_176 = (fs_disp_data&(~_net_24))&_net_174;\r
-   assign  _net_177 = (r_bit32_cnt)==(5'b01101);\r
-   assign  _net_178 = fs_disp_data&(~_net_24);\r
-   assign  _net_179 = (fs_disp_data&(~_net_24))&_net_177;\r
-   assign  _net_180 = (r_bit32_cnt)==(5'b01100);\r
-   assign  _net_181 = fs_disp_data&(~_net_24);\r
-   assign  _net_182 = (fs_disp_data&(~_net_24))&_net_180;\r
-   assign  _net_183 = (r_bit32_cnt)==(5'b01011);\r
-   assign  _net_184 = fs_disp_data&(~_net_24);\r
-   assign  _net_185 = (fs_disp_data&(~_net_24))&_net_183;\r
-   assign  _net_186 = (r_bit32_cnt)==(5'b01010);\r
-   assign  _net_187 = fs_disp_data&(~_net_24);\r
-   assign  _net_188 = (fs_disp_data&(~_net_24))&_net_186;\r
-   assign  _net_189 = (r_bit32_cnt)==(5'b01001);\r
-   assign  _net_190 = fs_disp_data&(~_net_24);\r
-   assign  _net_191 = (fs_disp_data&(~_net_24))&_net_189;\r
-   assign  _net_192 = (r_bit32_cnt)==(5'b01000);\r
-   assign  _net_193 = fs_disp_data&(~_net_24);\r
-   assign  _net_194 = (fs_disp_data&(~_net_24))&_net_192;\r
-   assign  _net_195 = (r_bit32_cnt)==(5'b00111);\r
-   assign  _net_196 = fs_disp_data&(~_net_24);\r
-   assign  _net_197 = (fs_disp_data&(~_net_24))&_net_195;\r
-   assign  _net_198 = (r_bit32_cnt)==(5'b00110);\r
-   assign  _net_199 = fs_disp_data&(~_net_24);\r
-   assign  _net_200 = (fs_disp_data&(~_net_24))&_net_198;\r
-   assign  _net_201 = (r_bit32_cnt)==(5'b00101);\r
-   assign  _net_202 = fs_disp_data&(~_net_24);\r
-   assign  _net_203 = (fs_disp_data&(~_net_24))&_net_201;\r
-   assign  _net_204 = (r_bit32_cnt)==(5'b00100);\r
-   assign  _net_205 = fs_disp_data&(~_net_24);\r
-   assign  _net_206 = (fs_disp_data&(~_net_24))&_net_204;\r
-   assign  _net_207 = (r_bit32_cnt)==(5'b00011);\r
-   assign  _net_208 = fs_disp_data&(~_net_24);\r
-   assign  _net_209 = (fs_disp_data&(~_net_24))&_net_207;\r
-   assign  _net_210 = (r_bit32_cnt)==(5'b00010);\r
-   assign  _net_211 = fs_disp_data&(~_net_24);\r
-   assign  _net_212 = (fs_disp_data&(~_net_24))&_net_210;\r
-   assign  _net_213 = (r_bit32_cnt)==(5'b00001);\r
-   assign  _net_214 = fs_disp_data&(~_net_24);\r
-   assign  _net_215 = (fs_disp_data&(~_net_24))&_net_213;\r
-   assign  _net_216 = (r_bit32_cnt)==(5'b00000);\r
-   assign  _net_217 = fs_disp_data&(~_net_24);\r
-   assign  _net_218 = (fs_disp_data&(~_net_24))&_net_216;\r
+   assign  fs_disp_data = _net_17;\r
+   assign  w_red = ((_net_38)?4'b0000:4'b0)|\r
+    ((_net_35|_net_32)?4'b1111:4'b0);\r
+   assign  w_green = ((_net_37)?4'b1111:4'b0)|\r
+    ((_net_40|_net_34)?4'b0000:4'b0);\r
+   assign  w_blue = ((_net_36)?4'b1111:4'b0)|\r
+    ((_net_39|_net_33)?4'b0000:4'b0);\r
+   assign  w_disp_data = ((_net_238)?r2[31]:1'b0)|\r
+    ((_net_235)?r2[30]:1'b0)|\r
+    ((_net_232)?r2[29]:1'b0)|\r
+    ((_net_229)?r2[28]:1'b0)|\r
+    ((_net_226)?r2[27]:1'b0)|\r
+    ((_net_223)?r2[26]:1'b0)|\r
+    ((_net_220)?r2[25]:1'b0)|\r
+    ((_net_217)?r2[24]:1'b0)|\r
+    ((_net_214)?r2[23]:1'b0)|\r
+    ((_net_211)?r2[22]:1'b0)|\r
+    ((_net_208)?r2[21]:1'b0)|\r
+    ((_net_205)?r2[20]:1'b0)|\r
+    ((_net_202)?r2[19]:1'b0)|\r
+    ((_net_199)?r2[18]:1'b0)|\r
+    ((_net_196)?r2[17]:1'b0)|\r
+    ((_net_193)?r2[16]:1'b0)|\r
+    ((_net_190)?r2[15]:1'b0)|\r
+    ((_net_187)?r2[14]:1'b0)|\r
+    ((_net_184)?r2[13]:1'b0)|\r
+    ((_net_181)?r2[12]:1'b0)|\r
+    ((_net_178)?r2[11]:1'b0)|\r
+    ((_net_175)?r2[10]:1'b0)|\r
+    ((_net_172)?r2[9]:1'b0)|\r
+    ((_net_169)?r2[8]:1'b0)|\r
+    ((_net_166)?r2[7]:1'b0)|\r
+    ((_net_163)?r2[6]:1'b0)|\r
+    ((_net_160)?r2[5]:1'b0)|\r
+    ((_net_157)?r2[4]:1'b0)|\r
+    ((_net_154)?r2[3]:1'b0)|\r
+    ((_net_151)?r2[2]:1'b0)|\r
+    ((_net_148)?r2[1]:1'b0)|\r
+    ((_net_144)?r2[0]:1'b0)|\r
+    ((_net_141)?r1[31]:1'b0)|\r
+    ((_net_138)?r1[30]:1'b0)|\r
+    ((_net_135)?r1[29]:1'b0)|\r
+    ((_net_132)?r1[28]:1'b0)|\r
+    ((_net_129)?r1[27]:1'b0)|\r
+    ((_net_126)?r1[26]:1'b0)|\r
+    ((_net_123)?r1[25]:1'b0)|\r
+    ((_net_120)?r1[24]:1'b0)|\r
+    ((_net_117)?r1[23]:1'b0)|\r
+    ((_net_114)?r1[22]:1'b0)|\r
+    ((_net_111)?r1[21]:1'b0)|\r
+    ((_net_108)?r1[20]:1'b0)|\r
+    ((_net_105)?r1[19]:1'b0)|\r
+    ((_net_102)?r1[18]:1'b0)|\r
+    ((_net_99)?r1[17]:1'b0)|\r
+    ((_net_96)?r1[16]:1'b0)|\r
+    ((_net_93)?r1[15]:1'b0)|\r
+    ((_net_90)?r1[14]:1'b0)|\r
+    ((_net_87)?r1[13]:1'b0)|\r
+    ((_net_84)?r1[12]:1'b0)|\r
+    ((_net_81)?r1[11]:1'b0)|\r
+    ((_net_78)?r1[10]:1'b0)|\r
+    ((_net_75)?r1[9]:1'b0)|\r
+    ((_net_72)?r1[8]:1'b0)|\r
+    ((_net_69)?r1[7]:1'b0)|\r
+    ((_net_66)?r1[6]:1'b0)|\r
+    ((_net_63)?r1[5]:1'b0)|\r
+    ((_net_60)?r1[4]:1'b0)|\r
+    ((_net_57)?r1[3]:1'b0)|\r
+    ((_net_54)?r1[2]:1'b0)|\r
+    ((_net_51)?r1[1]:1'b0)|\r
+    ((_net_47)?r1[0]:1'b0);\r
+   assign  vgaram_read1 = _net_22;\r
+   assign  vgaram_read2 = _net_23;\r
+   assign  _u_VGARAM_p_reset = p_reset;\r
+   assign  _u_VGARAM_m_clock = m_clock;\r
+   assign  _u_VGARAM_i_we1 = fi_vgaram_write1;\r
+   assign  _u_VGARAM_i_wdata1 = i_wdata1;\r
+   assign  _u_VGARAM_i_we2 = fi_vgaram_write2;\r
+   assign  _u_VGARAM_i_wdata2 = i_wdata2;\r
+   assign  _u_VGARAM_i_clock = i_50clk;\r
+   assign  _u_VGARAM_i_re1 = ((_net_28)?1'b0:1'b0)|\r
+    ((vgaram_read1)?1'b1:1'b0);\r
+   assign  _u_VGARAM_i_re2 = ((_net_29)?1'b0:1'b0)|\r
+    ((vgaram_read2)?1'b1:1'b0);\r
+   assign  _u_VGARAM_i_fifo1_rst = fi_fifo1_rst;\r
+   assign  _u_VGARAM_i_fifo2_rst = fi_fifo2_rst;\r
+   assign  _net_0 = r_h_sync&(~r_hld_h_sync);\r
+   assign  _net_1 = ~r_cnt_flg;\r
+   assign  _net_2 = r_v_sync&_net_0;\r
+   assign  _net_3 = (r_v_sync&_net_0)&_net_1;\r
+   assign  _net_4 = (r_v_sync&_net_0)&(~_net_1);\r
+   assign  _net_5 = ~r_v_sync;\r
+   assign  _net_6 = ~r_v_sync;\r
+   assign  _net_7 = (r_h_cnt)==(10'b1100100000);\r
+   assign  _net_8 = (r_h_cnt)==(10'b1100001110);\r
+   assign  _net_9 = (r_h_cnt)==(10'b0010001110);\r
+   assign  _net_10 = (r_h_cnt)==(10'b0001100000);\r
+   assign  _net_11 = (((~_net_7)&(~_net_8))&(~_net_9))&(~_net_10);\r
+   assign  _net_12 = (r_v_cnt)==(19'b1100101110000011111);\r
+   assign  _net_13 = (r_v_cnt)==(19'b1100011110011011111);\r
+   assign  _net_14 = (r_v_cnt)==(19'b0000110000011011111);\r
+   assign  _net_15 = (r_v_cnt)==(19'b0000000011000111111);\r
+   assign  _net_16 = (((~_net_12)&(~_net_13))&(~_net_14))&(~_net_15);\r
+   assign  _net_17 = r_hdata_flg&r_vdata_flg;\r
+   assign  _net_18 = (((r_h_cnt) >= ((10'b0010001110)+(10'b1001100001)))&((r_h_cnt) <= (((10'b1100001110)+(10'b1001100001))+(10'b1111111111))))&((r_v_cnt) >= ((19'b0000110000011011111)+(19'b1111111111111100001)))&((r_v_cnt) <= (((19'b1100011110011011111)+(19'b1111111111111100001))+(19'b1111111111111111111)));\r
+   assign  _net_19 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_20 = (r_scanline_cnt[0])==(1'b0);\r
+   assign  _net_21 = _net_18&_net_19;\r
+   assign  _net_22 = (_net_18&_net_19)&_net_20;\r
+   assign  _net_23 = (_net_18&_net_19)&(~_net_20);\r
+   assign  _net_24 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_25 = _net_18&_net_24;\r
+   assign  _net_26 = _net_18&(~_net_24);\r
+   assign  _net_27 = ~_net_18;\r
+   assign  _net_28 = ~vgaram_read1;\r
+   assign  _net_29 = ~vgaram_read2;\r
+   assign  _net_30 = r_hdata_flg&r_vdata_flg;\r
+   assign  _net_31 = ~w_disp_data;\r
+   assign  _net_32 = _net_30&_net_31;\r
+   assign  _net_33 = _net_30&_net_31;\r
+   assign  _net_34 = _net_30&_net_31;\r
+   assign  _net_35 = _net_30&w_disp_data;\r
+   assign  _net_36 = _net_30&w_disp_data;\r
+   assign  _net_37 = _net_30&w_disp_data;\r
+   assign  _net_38 = ~_net_30;\r
+   assign  _net_39 = ~_net_30;\r
+   assign  _net_40 = ~_net_30;\r
+   assign  _net_41 = _u_VGARAM_o_rdack1&_u_VGARAM_o_rdack2;\r
+   assign  _net_42 = _net_41&r_data_select_flag;\r
+   assign  _net_43 = _net_41&(~r_data_select_flag);\r
+   assign  _net_44 = ~r_flg;\r
+   assign  _net_45 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_46 = fs_disp_data&_net_44;\r
+   assign  _net_47 = (fs_disp_data&_net_44)&_net_45;\r
+   assign  _net_48 = (fs_disp_data&_net_44)&_net_45;\r
+   assign  _net_49 = (r_bit32_cnt)==(5'b11110);\r
+   assign  _net_50 = fs_disp_data&_net_44;\r
+   assign  _net_51 = (fs_disp_data&_net_44)&_net_49;\r
+   assign  _net_52 = (r_bit32_cnt)==(5'b11101);\r
+   assign  _net_53 = fs_disp_data&_net_44;\r
+   assign  _net_54 = (fs_disp_data&_net_44)&_net_52;\r
+   assign  _net_55 = (r_bit32_cnt)==(5'b11100);\r
+   assign  _net_56 = fs_disp_data&_net_44;\r
+   assign  _net_57 = (fs_disp_data&_net_44)&_net_55;\r
+   assign  _net_58 = (r_bit32_cnt)==(5'b11011);\r
+   assign  _net_59 = fs_disp_data&_net_44;\r
+   assign  _net_60 = (fs_disp_data&_net_44)&_net_58;\r
+   assign  _net_61 = (r_bit32_cnt)==(5'b11010);\r
+   assign  _net_62 = fs_disp_data&_net_44;\r
+   assign  _net_63 = (fs_disp_data&_net_44)&_net_61;\r
+   assign  _net_64 = (r_bit32_cnt)==(5'b11001);\r
+   assign  _net_65 = fs_disp_data&_net_44;\r
+   assign  _net_66 = (fs_disp_data&_net_44)&_net_64;\r
+   assign  _net_67 = (r_bit32_cnt)==(5'b11000);\r
+   assign  _net_68 = fs_disp_data&_net_44;\r
+   assign  _net_69 = (fs_disp_data&_net_44)&_net_67;\r
+   assign  _net_70 = (r_bit32_cnt)==(5'b10111);\r
+   assign  _net_71 = fs_disp_data&_net_44;\r
+   assign  _net_72 = (fs_disp_data&_net_44)&_net_70;\r
+   assign  _net_73 = (r_bit32_cnt)==(5'b10110);\r
+   assign  _net_74 = fs_disp_data&_net_44;\r
+   assign  _net_75 = (fs_disp_data&_net_44)&_net_73;\r
+   assign  _net_76 = (r_bit32_cnt)==(5'b10101);\r
+   assign  _net_77 = fs_disp_data&_net_44;\r
+   assign  _net_78 = (fs_disp_data&_net_44)&_net_76;\r
+   assign  _net_79 = (r_bit32_cnt)==(5'b10100);\r
+   assign  _net_80 = fs_disp_data&_net_44;\r
+   assign  _net_81 = (fs_disp_data&_net_44)&_net_79;\r
+   assign  _net_82 = (r_bit32_cnt)==(5'b10011);\r
+   assign  _net_83 = fs_disp_data&_net_44;\r
+   assign  _net_84 = (fs_disp_data&_net_44)&_net_82;\r
+   assign  _net_85 = (r_bit32_cnt)==(5'b10010);\r
+   assign  _net_86 = fs_disp_data&_net_44;\r
+   assign  _net_87 = (fs_disp_data&_net_44)&_net_85;\r
+   assign  _net_88 = (r_bit32_cnt)==(5'b10001);\r
+   assign  _net_89 = fs_disp_data&_net_44;\r
+   assign  _net_90 = (fs_disp_data&_net_44)&_net_88;\r
+   assign  _net_91 = (r_bit32_cnt)==(5'b10000);\r
+   assign  _net_92 = fs_disp_data&_net_44;\r
+   assign  _net_93 = (fs_disp_data&_net_44)&_net_91;\r
+   assign  _net_94 = (r_bit32_cnt)==(5'b01111);\r
+   assign  _net_95 = fs_disp_data&_net_44;\r
+   assign  _net_96 = (fs_disp_data&_net_44)&_net_94;\r
+   assign  _net_97 = (r_bit32_cnt)==(5'b01110);\r
+   assign  _net_98 = fs_disp_data&_net_44;\r
+   assign  _net_99 = (fs_disp_data&_net_44)&_net_97;\r
+   assign  _net_100 = (r_bit32_cnt)==(5'b01101);\r
+   assign  _net_101 = fs_disp_data&_net_44;\r
+   assign  _net_102 = (fs_disp_data&_net_44)&_net_100;\r
+   assign  _net_103 = (r_bit32_cnt)==(5'b01100);\r
+   assign  _net_104 = fs_disp_data&_net_44;\r
+   assign  _net_105 = (fs_disp_data&_net_44)&_net_103;\r
+   assign  _net_106 = (r_bit32_cnt)==(5'b01011);\r
+   assign  _net_107 = fs_disp_data&_net_44;\r
+   assign  _net_108 = (fs_disp_data&_net_44)&_net_106;\r
+   assign  _net_109 = (r_bit32_cnt)==(5'b01010);\r
+   assign  _net_110 = fs_disp_data&_net_44;\r
+   assign  _net_111 = (fs_disp_data&_net_44)&_net_109;\r
+   assign  _net_112 = (r_bit32_cnt)==(5'b01001);\r
+   assign  _net_113 = fs_disp_data&_net_44;\r
+   assign  _net_114 = (fs_disp_data&_net_44)&_net_112;\r
+   assign  _net_115 = (r_bit32_cnt)==(5'b01000);\r
+   assign  _net_116 = fs_disp_data&_net_44;\r
+   assign  _net_117 = (fs_disp_data&_net_44)&_net_115;\r
+   assign  _net_118 = (r_bit32_cnt)==(5'b00111);\r
+   assign  _net_119 = fs_disp_data&_net_44;\r
+   assign  _net_120 = (fs_disp_data&_net_44)&_net_118;\r
+   assign  _net_121 = (r_bit32_cnt)==(5'b00110);\r
+   assign  _net_122 = fs_disp_data&_net_44;\r
+   assign  _net_123 = (fs_disp_data&_net_44)&_net_121;\r
+   assign  _net_124 = (r_bit32_cnt)==(5'b00101);\r
+   assign  _net_125 = fs_disp_data&_net_44;\r
+   assign  _net_126 = (fs_disp_data&_net_44)&_net_124;\r
+   assign  _net_127 = (r_bit32_cnt)==(5'b00100);\r
+   assign  _net_128 = fs_disp_data&_net_44;\r
+   assign  _net_129 = (fs_disp_data&_net_44)&_net_127;\r
+   assign  _net_130 = (r_bit32_cnt)==(5'b00011);\r
+   assign  _net_131 = fs_disp_data&_net_44;\r
+   assign  _net_132 = (fs_disp_data&_net_44)&_net_130;\r
+   assign  _net_133 = (r_bit32_cnt)==(5'b00010);\r
+   assign  _net_134 = fs_disp_data&_net_44;\r
+   assign  _net_135 = (fs_disp_data&_net_44)&_net_133;\r
+   assign  _net_136 = (r_bit32_cnt)==(5'b00001);\r
+   assign  _net_137 = fs_disp_data&_net_44;\r
+   assign  _net_138 = (fs_disp_data&_net_44)&_net_136;\r
+   assign  _net_139 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_140 = fs_disp_data&_net_44;\r
+   assign  _net_141 = (fs_disp_data&_net_44)&_net_139;\r
+   assign  _net_142 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_143 = fs_disp_data&(~_net_44);\r
+   assign  _net_144 = (fs_disp_data&(~_net_44))&_net_142;\r
+   assign  _net_145 = (fs_disp_data&(~_net_44))&_net_142;\r
+   assign  _net_146 = (r_bit32_cnt)==(5'b11110);\r
+   assign  _net_147 = fs_disp_data&(~_net_44);\r
+   assign  _net_148 = (fs_disp_data&(~_net_44))&_net_146;\r
+   assign  _net_149 = (r_bit32_cnt)==(5'b11101);\r
+   assign  _net_150 = fs_disp_data&(~_net_44);\r
+   assign  _net_151 = (fs_disp_data&(~_net_44))&_net_149;\r
+   assign  _net_152 = (r_bit32_cnt)==(5'b11100);\r
+   assign  _net_153 = fs_disp_data&(~_net_44);\r
+   assign  _net_154 = (fs_disp_data&(~_net_44))&_net_152;\r
+   assign  _net_155 = (r_bit32_cnt)==(5'b11011);\r
+   assign  _net_156 = fs_disp_data&(~_net_44);\r
+   assign  _net_157 = (fs_disp_data&(~_net_44))&_net_155;\r
+   assign  _net_158 = (r_bit32_cnt)==(5'b11010);\r
+   assign  _net_159 = fs_disp_data&(~_net_44);\r
+   assign  _net_160 = (fs_disp_data&(~_net_44))&_net_158;\r
+   assign  _net_161 = (r_bit32_cnt)==(5'b11001);\r
+   assign  _net_162 = fs_disp_data&(~_net_44);\r
+   assign  _net_163 = (fs_disp_data&(~_net_44))&_net_161;\r
+   assign  _net_164 = (r_bit32_cnt)==(5'b11000);\r
+   assign  _net_165 = fs_disp_data&(~_net_44);\r
+   assign  _net_166 = (fs_disp_data&(~_net_44))&_net_164;\r
+   assign  _net_167 = (r_bit32_cnt)==(5'b10111);\r
+   assign  _net_168 = fs_disp_data&(~_net_44);\r
+   assign  _net_169 = (fs_disp_data&(~_net_44))&_net_167;\r
+   assign  _net_170 = (r_bit32_cnt)==(5'b10110);\r
+   assign  _net_171 = fs_disp_data&(~_net_44);\r
+   assign  _net_172 = (fs_disp_data&(~_net_44))&_net_170;\r
+   assign  _net_173 = (r_bit32_cnt)==(5'b10101);\r
+   assign  _net_174 = fs_disp_data&(~_net_44);\r
+   assign  _net_175 = (fs_disp_data&(~_net_44))&_net_173;\r
+   assign  _net_176 = (r_bit32_cnt)==(5'b10100);\r
+   assign  _net_177 = fs_disp_data&(~_net_44);\r
+   assign  _net_178 = (fs_disp_data&(~_net_44))&_net_176;\r
+   assign  _net_179 = (r_bit32_cnt)==(5'b10011);\r
+   assign  _net_180 = fs_disp_data&(~_net_44);\r
+   assign  _net_181 = (fs_disp_data&(~_net_44))&_net_179;\r
+   assign  _net_182 = (r_bit32_cnt)==(5'b10010);\r
+   assign  _net_183 = fs_disp_data&(~_net_44);\r
+   assign  _net_184 = (fs_disp_data&(~_net_44))&_net_182;\r
+   assign  _net_185 = (r_bit32_cnt)==(5'b10001);\r
+   assign  _net_186 = fs_disp_data&(~_net_44);\r
+   assign  _net_187 = (fs_disp_data&(~_net_44))&_net_185;\r
+   assign  _net_188 = (r_bit32_cnt)==(5'b10000);\r
+   assign  _net_189 = fs_disp_data&(~_net_44);\r
+   assign  _net_190 = (fs_disp_data&(~_net_44))&_net_188;\r
+   assign  _net_191 = (r_bit32_cnt)==(5'b01111);\r
+   assign  _net_192 = fs_disp_data&(~_net_44);\r
+   assign  _net_193 = (fs_disp_data&(~_net_44))&_net_191;\r
+   assign  _net_194 = (r_bit32_cnt)==(5'b01110);\r
+   assign  _net_195 = fs_disp_data&(~_net_44);\r
+   assign  _net_196 = (fs_disp_data&(~_net_44))&_net_194;\r
+   assign  _net_197 = (r_bit32_cnt)==(5'b01101);\r
+   assign  _net_198 = fs_disp_data&(~_net_44);\r
+   assign  _net_199 = (fs_disp_data&(~_net_44))&_net_197;\r
+   assign  _net_200 = (r_bit32_cnt)==(5'b01100);\r
+   assign  _net_201 = fs_disp_data&(~_net_44);\r
+   assign  _net_202 = (fs_disp_data&(~_net_44))&_net_200;\r
+   assign  _net_203 = (r_bit32_cnt)==(5'b01011);\r
+   assign  _net_204 = fs_disp_data&(~_net_44);\r
+   assign  _net_205 = (fs_disp_data&(~_net_44))&_net_203;\r
+   assign  _net_206 = (r_bit32_cnt)==(5'b01010);\r
+   assign  _net_207 = fs_disp_data&(~_net_44);\r
+   assign  _net_208 = (fs_disp_data&(~_net_44))&_net_206;\r
+   assign  _net_209 = (r_bit32_cnt)==(5'b01001);\r
+   assign  _net_210 = fs_disp_data&(~_net_44);\r
+   assign  _net_211 = (fs_disp_data&(~_net_44))&_net_209;\r
+   assign  _net_212 = (r_bit32_cnt)==(5'b01000);\r
+   assign  _net_213 = fs_disp_data&(~_net_44);\r
+   assign  _net_214 = (fs_disp_data&(~_net_44))&_net_212;\r
+   assign  _net_215 = (r_bit32_cnt)==(5'b00111);\r
+   assign  _net_216 = fs_disp_data&(~_net_44);\r
+   assign  _net_217 = (fs_disp_data&(~_net_44))&_net_215;\r
+   assign  _net_218 = (r_bit32_cnt)==(5'b00110);\r
+   assign  _net_219 = fs_disp_data&(~_net_44);\r
+   assign  _net_220 = (fs_disp_data&(~_net_44))&_net_218;\r
+   assign  _net_221 = (r_bit32_cnt)==(5'b00101);\r
+   assign  _net_222 = fs_disp_data&(~_net_44);\r
+   assign  _net_223 = (fs_disp_data&(~_net_44))&_net_221;\r
+   assign  _net_224 = (r_bit32_cnt)==(5'b00100);\r
+   assign  _net_225 = fs_disp_data&(~_net_44);\r
+   assign  _net_226 = (fs_disp_data&(~_net_44))&_net_224;\r
+   assign  _net_227 = (r_bit32_cnt)==(5'b00011);\r
+   assign  _net_228 = fs_disp_data&(~_net_44);\r
+   assign  _net_229 = (fs_disp_data&(~_net_44))&_net_227;\r
+   assign  _net_230 = (r_bit32_cnt)==(5'b00010);\r
+   assign  _net_231 = fs_disp_data&(~_net_44);\r
+   assign  _net_232 = (fs_disp_data&(~_net_44))&_net_230;\r
+   assign  _net_233 = (r_bit32_cnt)==(5'b00001);\r
+   assign  _net_234 = fs_disp_data&(~_net_44);\r
+   assign  _net_235 = (fs_disp_data&(~_net_44))&_net_233;\r
+   assign  _net_236 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_237 = fs_disp_data&(~_net_44);\r
+   assign  _net_238 = (fs_disp_data&(~_net_44))&_net_236;\r
    assign  o_v_sync = r_v_sync;\r
    assign  o_h_sync = r_h_sync;\r
    assign  o_vga_red = w_red;\r
    assign  o_vga_green = w_green;\r
    assign  o_vga_blue = w_blue;\r
    assign  o_h_cnt = r_h_cnt;\r
-   assign  fo_req_32dot = _net_13;\r
-always @(posedge p_reset)\r
- begin\r
-if (p_reset)\r
-     r_line_buff_cnt <= 1'b0;\r
-end\r
+   assign  o_scanline = r_scanline_cnt;\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_v_sync <= 1'b0;\r
-else if ((_net_8|_net_5)) \r
+else if ((_net_15|_net_12)) \r
       r_v_sync <= ~r_v_sync;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_h_sync <= 1'b0;\r
-else if ((_net_3|_net_0)) \r
+else if ((_net_10|_net_7)) \r
       r_h_sync <= ~r_h_sync;\r
 end\r
-always @(posedge p_reset)\r
- begin\r
-if (p_reset)\r
-     r_h_flg <= 1'b0;\r
-end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_vdata_flg <= 1'b0;\r
-else if ((_net_7)|(_net_6)) \r
-      r_vdata_flg <= ((_net_7) ?1'b1:1'b0)|\r
-    ((_net_6) ?1'b0:1'b0);\r
+else if ((_net_14)|(_net_13)) \r
+      r_vdata_flg <= ((_net_14) ?1'b1:1'b0)|\r
+    ((_net_13) ?1'b0:1'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_hdata_flg <= 1'b0;\r
-else if ((_net_2)|(_net_1)) \r
-      r_hdata_flg <= ((_net_2) ?1'b1:1'b0)|\r
-    ((_net_1) ?1'b0:1'b0);\r
+else if ((_net_9)|(_net_8)) \r
+      r_hdata_flg <= ((_net_9) ?1'b1:1'b0)|\r
+    ((_net_8) ?1'b0:1'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_h_cnt <= 10'b0000000000;\r
-else if ((_net_4|_net_3|_net_2|_net_1)|(_net_0)) \r
-      r_h_cnt <= ((_net_4|_net_3|_net_2|_net_1) ?(r_h_cnt)+(10'b0000000001):10'b0)|\r
-    ((_net_0) ?10'b0000000000:10'b0);\r
+else if ((_net_11|_net_10|_net_9|_net_8)|(_net_7)) \r
+      r_h_cnt <= ((_net_11|_net_10|_net_9|_net_8) ?(r_h_cnt)+(10'b0000000001):10'b0)|\r
+    ((_net_7) ?10'b0000000000:10'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_v_cnt <= 19'b0000000000000000000;\r
-else if ((_net_9|_net_8|_net_7|_net_6)|(_net_5)) \r
-      r_v_cnt <= ((_net_9|_net_8|_net_7|_net_6) ?(r_v_cnt)+(19'b0000000000000000001):19'b0)|\r
-    ((_net_5) ?19'b0000000000000000000:19'b0);\r
+else if ((_net_16|_net_15|_net_14|_net_13)|(_net_12)) \r
+      r_v_cnt <= ((_net_16|_net_15|_net_14|_net_13) ?(r_v_cnt)+(19'b0000000000000000001):19'b0)|\r
+    ((_net_12) ?19'b0000000000000000000:19'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_bit32_cnt <= 5'b00000;\r
-else if ((fs_disp_data)|(_net_16)|(_net_17|_net_15)) \r
+else if ((fs_disp_data)|(_net_26)|(_net_27|_net_25)) \r
       r_bit32_cnt <= ((fs_disp_data) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
-    ((_net_16) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
-    ((_net_17|_net_15) ?5'b00000:5'b0);\r
+    ((_net_26) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
+    ((_net_27|_net_25) ?5'b00000:5'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_flg <= 1'b0;\r
-else if ((_net_125|_net_28)) \r
+else if ((_net_145|_net_48)) \r
       r_flg <= ~r_flg;\r
 end\r
-always @(posedge p_reset)\r
- begin\r
-if (p_reset)\r
-     r_cnt <= 1'b0;\r
-end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r1 <= 32'b00000000000000000000000000000000;\r
-else if ((_net_22)) \r
-      r1 <= i_pix32_data;\r
+else if ((_net_42)) \r
+      r1 <= _u_VGARAM_o_rddata1;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r2 <= 32'b00000000000000000000000000000000;\r
-else if ((_net_23)) \r
-      r2 <= i_pix32_data;\r
+else if ((_net_43)) \r
+      r2 <= _u_VGARAM_o_rddata2;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r_data_select_flag <= 1'b0;\r
-else if ((fi_ack_req_32dot)) \r
+else if ((_net_41)) \r
       r_data_select_flag <= ~r_data_select_flag;\r
 end\r
+always @(posedge m_clock or posedge p_reset)\r
+  begin\r
+if (p_reset)\r
+     r_scanline_cnt <= 10'b0000000000;\r
+else if ((_net_6)|(_net_4)) \r
+      r_scanline_cnt <= ((_net_6) ?10'b0000000000:10'b0)|\r
+    ((_net_4) ?(r_scanline_cnt)+(10'b0000000001):10'b0);\r
+\r
+end\r
+always @(posedge m_clock or posedge p_reset)\r
+  begin\r
+if (p_reset)\r
+     r_cnt_flg <= 1'b0;\r
+else if ((_net_5)|(_net_3)) \r
+      r_cnt_flg <= ((_net_5) ?1'b0:1'b0)|\r
+    ((_net_3) ?1'b1:1'b0);\r
+\r
+end\r
+always @(posedge m_clock or posedge p_reset)\r
+  begin\r
+if (p_reset)\r
+     r_hld_h_sync <= 1'b0;\r
+else   r_hld_h_sync <= r_h_sync;\r
+end\r
 endmodule\r
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Mon Jul 11 14:01:06 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Wed Jul 20 21:25:22 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp \r
 */\r