OSDN Git Service

Remove duplicate copy of if_ether.h and use the kernel provided one
[android-x86/external-modules-rtl8723au.git] / core / rtw_recv.c
index 7291569..e578e5d 100644 (file)
 #include <recv_osdep.h>
 #include <mlme_osdep.h>
 #include <ip.h>
-#include <if_ether.h>
+#include <linux/if_ether.h>
 #include <ethernet.h>
 #include <usb_ops.h>
+#include <linux/ieee80211.h>
 #include <wifi.h>
 #include <circ_buf.h>
 
 #ifdef CONFIG_NEW_SIGNAL_STAT_PROCESS
 void rtw_signal_stat_timer_hdl(RTW_TIMER_HDL_ARGS);
-#endif //CONFIG_NEW_SIGNAL_STAT_PROCESS
-
+#endif /* CONFIG_NEW_SIGNAL_STAT_PROCESS */
 
 void _rtw_init_sta_recv_priv(struct sta_recv_priv *psta_recvpriv)
 {
 
-
 _func_enter_;
 
        memset((u8 *)psta_recvpriv, 0, sizeof (struct sta_recv_priv));
 
-       _rtw_spinlock_init(&psta_recvpriv->lock);
+       spin_lock_init(&psta_recvpriv->lock);
 
-       //for (i=0; i<MAX_RX_NUMBLKS; i++)
-       //      _rtw_init_queue(&psta_recvpriv->blk_strms[i]);
+       /* for(i=0; i<MAX_RX_NUMBLKS; i++) */
+       /*      _rtw_init_queue(&psta_recvpriv->blk_strms[i]); */
 
        _rtw_init_queue(&psta_recvpriv->defrag_q);
 
 _func_exit_;
-
 }
 
-sint _rtw_init_recv_priv(struct recv_priv *precvpriv, _adapter *padapter)
+int _rtw_init_recv_priv(struct recv_priv *precvpriv, _adapter *padapter)
 {
-       sint i;
+       int i;
 
        union recv_frame *precvframe;
 
-       sint    res=_SUCCESS;
+       int     res=_SUCCESS;
 
 _func_enter_;
 
-       _rtw_spinlock_init(&precvpriv->lock);
+       /*  We don't need to memset padapter->XXX to zero, because adapter is allocated by rtw_zvmalloc(). */
+       /* memset((unsigned char *)precvpriv, 0, sizeof (struct  recv_priv)); */
+
+       spin_lock_init(&precvpriv->lock);
 
        _rtw_init_queue(&precvpriv->free_recv_queue);
        _rtw_init_queue(&precvpriv->recv_pending_queue);
@@ -78,17 +79,18 @@ _func_enter_;
 
        precvpriv->pallocated_frame_buf = rtw_zvmalloc(NR_RECVFRAME * sizeof(union recv_frame) + RXFRAME_ALIGN_SZ);
 
-       if (precvpriv->pallocated_frame_buf==NULL){
+       if(precvpriv->pallocated_frame_buf==NULL){
                res= _FAIL;
                goto exit;
        }
+       /* memset(precvpriv->pallocated_frame_buf, 0, NR_RECVFRAME * sizeof(union recv_frame) + RXFRAME_ALIGN_SZ); */
 
-       precvpriv->precv_frame_buf = (u8 *)N_BYTE_ALIGMENT((SIZE_PTR)(precvpriv->pallocated_frame_buf), RXFRAME_ALIGN_SZ);
+       precvpriv->precv_frame_buf = PTR_ALIGN(precvpriv->pallocated_frame_buf, RXFRAME_ALIGN_SZ);
 
        precvframe = (union recv_frame*) precvpriv->precv_frame_buf;
 
-
-       for (i=0; i < NR_RECVFRAME ; i++) {
+       for(i=0; i < NR_RECVFRAME ; i++)
+       {
                _rtw_init_listhead(&(precvframe->u.list));
 
                rtw_list_insert_tail(&(precvframe->u.list), &(precvpriv->free_recv_queue.queue));
@@ -104,41 +106,23 @@ _func_enter_;
 
        precvpriv->rx_pending_cnt=1;
 
-       _rtw_init_sema(&precvpriv->allrxreturnevt, 0);
+       sema_init(&precvpriv->allrxreturnevt, 0);
 
        res = rtw_hal_init_recv_priv(padapter);
 
 #ifdef CONFIG_NEW_SIGNAL_STAT_PROCESS
        _init_timer(&precvpriv->signal_stat_timer, padapter->pnetdev, RTW_TIMER_HDL_NAME(signal_stat), padapter);
 
-       precvpriv->signal_stat_sampling_interval = 1000; //ms
-       //precvpriv->signal_stat_converging_constant = 5000; //ms
+       precvpriv->signal_stat_sampling_interval = 1000; /* ms */
 
        rtw_set_signal_stat_timer(precvpriv);
-#endif //CONFIG_NEW_SIGNAL_STAT_PROCESS
+#endif /* CONFIG_NEW_SIGNAL_STAT_PROCESS */
 
 exit:
 
 _func_exit_;
 
        return res;
-
-}
-
-void rtw_mfree_recv_priv_lock(struct recv_priv *precvpriv);
-void rtw_mfree_recv_priv_lock(struct recv_priv *precvpriv)
-{
-       _rtw_spinlock_free(&precvpriv->lock);
-#ifdef CONFIG_RECV_THREAD_MODE
-       _rtw_free_sema(&precvpriv->recv_sema);
-       _rtw_free_sema(&precvpriv->terminate_recvthread_sema);
-#endif
-
-       _rtw_spinlock_free(&precvpriv->free_recv_queue.lock);
-       _rtw_spinlock_free(&precvpriv->recv_pending_queue.lock);
-
-       _rtw_spinlock_free(&precvpriv->free_recv_buf_queue.lock);
-
 }
 
 void _rtw_free_recv_priv (struct recv_priv *precvpriv)
@@ -149,18 +133,15 @@ _func_enter_;
 
        rtw_free_uc_swdec_pending_queue(padapter);
 
-       rtw_mfree_recv_priv_lock(precvpriv);
-
        rtw_os_recv_resource_free(precvpriv);
 
-       if (precvpriv->pallocated_frame_buf) {
+       if(precvpriv->pallocated_frame_buf) {
                rtw_vmfree(precvpriv->pallocated_frame_buf, NR_RECVFRAME * sizeof(union recv_frame) + RXFRAME_ALIGN_SZ);
        }
 
        rtw_hal_free_recv_priv(padapter);
 
 _func_exit_;
-
 }
 
 union recv_frame *_rtw_alloc_recvframe (_queue *pfree_recv_queue)
@@ -172,7 +153,7 @@ union recv_frame *_rtw_alloc_recvframe (_queue *pfree_recv_queue)
        struct recv_priv *precvpriv;
 _func_enter_;
 
-       if (_rtw_queue_empty(pfree_recv_queue) == true)
+       if(_rtw_queue_empty(pfree_recv_queue) == _TRUE)
        {
                precvframe = NULL;
        }
@@ -186,9 +167,9 @@ _func_enter_;
 
                rtw_list_delete(&precvframe->u.hdr.list);
                padapter=precvframe->u.hdr.adapter;
-               if (padapter !=NULL){
+               if(padapter !=NULL){
                        precvpriv=&padapter->recvpriv;
-                       if (pfree_recv_queue == &precvpriv->free_recv_queue)
+                       if(pfree_recv_queue == &precvpriv->free_recv_queue)
                                precvpriv->free_recvframe_cnt--;
                }
        }
@@ -196,19 +177,18 @@ _func_enter_;
 _func_exit_;
 
        return precvframe;
-
 }
 
 union recv_frame *rtw_alloc_recvframe (_queue *pfree_recv_queue)
 {
-       unsigned long irqL;
+       _irqL irqL;
        union recv_frame  *precvframe;
 
-       _enter_critical_bh(&pfree_recv_queue->lock, &irqL);
+       spin_lock_bh(&pfree_recv_queue->lock);
 
        precvframe = _rtw_alloc_recvframe(pfree_recv_queue);
 
-       _exit_critical_bh(&pfree_recv_queue->lock, &irqL);
+       spin_unlock_bh(&pfree_recv_queue->lock);
 
        return precvframe;
 }
@@ -223,33 +203,33 @@ void rtw_init_recvframe(union recv_frame *precvframe, struct recv_priv *precvpri
 
 int rtw_free_recvframe(union recv_frame *precvframe, _queue *pfree_recv_queue)
 {
-       unsigned long irqL;
+       _irqL irqL;
        _adapter *padapter=precvframe->u.hdr.adapter;
        struct recv_priv *precvpriv = &padapter->recvpriv;
 
 _func_enter_;
 
 #ifdef CONFIG_CONCURRENT_MODE
-       if (padapter->adapter_type > PRIMARY_ADAPTER)
+       if(padapter->adapter_type > PRIMARY_ADAPTER)
        {
-               padapter = padapter->pbuddy_adapter;//get primary_padapter
+               padapter = padapter->pbuddy_adapter;/* get primary_padapter */
                precvpriv = &padapter->recvpriv;
                pfree_recv_queue = &precvpriv->free_recv_queue;
                precvframe->u.hdr.adapter = padapter;
        }
 #endif
 
-
-       if (precvframe->u.hdr.pkt) {
+       if(precvframe->u.hdr.pkt)
+       {
 #ifdef CONFIG_BSD_RX_USE_MBUF
                m_freem(precvframe->u.hdr.pkt);
-#else  // CONFIG_BSD_RX_USE_MBUF
-               dev_kfree_skb_any(precvframe->u.hdr.pkt);//free skb by driver
-#endif // CONFIG_BSD_RX_USE_MBUF
+#else  /*  CONFIG_BSD_RX_USE_MBUF */
+               dev_kfree_skb_any(precvframe->u.hdr.pkt);/* free skb by driver */
+#endif /*  CONFIG_BSD_RX_USE_MBUF */
                precvframe->u.hdr.pkt = NULL;
        }
 
-       _enter_critical_bh(&pfree_recv_queue->lock, &irqL);
+       spin_lock_bh(&pfree_recv_queue->lock);
 
        rtw_list_delete(&(precvframe->u.hdr.list));
 
@@ -257,23 +237,19 @@ _func_enter_;
 
        rtw_list_insert_tail(&(precvframe->u.hdr.list), get_list_head(pfree_recv_queue));
 
-       if (padapter !=NULL){
-               if (pfree_recv_queue == &precvpriv->free_recv_queue)
+       if(padapter !=NULL){
+               if(pfree_recv_queue == &precvpriv->free_recv_queue)
                                precvpriv->free_recvframe_cnt++;
        }
 
-      _exit_critical_bh(&pfree_recv_queue->lock, &irqL);
+      spin_unlock_bh(&pfree_recv_queue->lock);
 
 _func_exit_;
 
        return _SUCCESS;
-
 }
 
-
-
-
-sint _rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
+int _rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
 {
 
        _adapter *padapter=precvframe->u.hdr.adapter;
@@ -281,10 +257,9 @@ sint _rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
 
 _func_enter_;
 
-       //_rtw_init_listhead(&(precvframe->u.hdr.list));
+       /* _rtw_init_listhead(&(precvframe->u.hdr.list)); */
        rtw_list_delete(&(precvframe->u.hdr.list));
 
-
        rtw_list_insert_tail(&(precvframe->u.hdr.list), get_list_head(queue));
 
        if (padapter != NULL) {
@@ -297,30 +272,27 @@ _func_exit_;
        return _SUCCESS;
 }
 
-sint rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
+int rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
 {
-       sint ret;
-       unsigned long irqL;
+       int ret;
+       _irqL irqL;
 
-       //_spinlock(&pfree_recv_queue->lock);
-       _enter_critical_bh(&queue->lock, &irqL);
+       /* _spinlock(&pfree_recv_queue->lock); */
+       spin_lock_bh(&queue->lock);
        ret = _rtw_enqueue_recvframe(precvframe, queue);
-       //_rtw_spinunlock(&pfree_recv_queue->lock);
-       _exit_critical_bh(&queue->lock, &irqL);
+       /* spin_unlock(&pfree_recv_queue->lock); */
+       spin_unlock_bh(&queue->lock);
 
        return ret;
 }
 
 /*
-sint   rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
+int    rtw_enqueue_recvframe(union recv_frame *precvframe, _queue *queue)
 {
        return rtw_free_recvframe(precvframe, queue);
 }
 */
 
-
-
-
 /*
 caller : defrag ; recvframe_chk_defrag in recv_thread  (passive)
 pframequeue: defrag_queue : will be accessed in recv_thread  (passive)
@@ -335,82 +307,70 @@ void rtw_free_recvframe_queue(_queue *pframequeue,  _queue *pfree_recv_queue)
        _list   *plist, *phead;
 
 _func_enter_;
-       _rtw_spinlock(&pframequeue->lock);
+       spin_lock(&pframequeue->lock);
 
        phead = get_list_head(pframequeue);
        plist = get_next(phead);
 
-       while (rtw_end_of_queue_search(phead, plist) == false)
-       {
+       while(rtw_end_of_queue_search(phead, plist) == _FALSE) {
                precvframe = LIST_CONTAINOR(plist, union recv_frame, u);
-
                plist = get_next(plist);
-
-               //rtw_list_delete(&precvframe->u.hdr.list); // will do this in rtw_free_recvframe()
-
                rtw_free_recvframe(precvframe, pfree_recv_queue);
        }
 
-       _rtw_spinunlock(&pframequeue->lock);
+       spin_unlock(&pframequeue->lock);
 
 _func_exit_;
-
 }
 
 u32 rtw_free_uc_swdec_pending_queue(_adapter *adapter)
 {
        u32 cnt = 0;
        union recv_frame *pending_frame;
-       while ((pending_frame=rtw_alloc_recvframe(&adapter->recvpriv.uc_swdec_pending_queue))) {
+       while((pending_frame=rtw_alloc_recvframe(&adapter->recvpriv.uc_swdec_pending_queue))) {
                rtw_free_recvframe(pending_frame, &adapter->recvpriv.free_recv_queue);
-               DBG_871X("%s: dequeue uc_swdec_pending_queue\n", __func__);
+               DBG_8723A("%s: dequeue uc_swdec_pending_queue\n", __func__);
                cnt++;
        }
 
        return cnt;
 }
 
-
-sint rtw_enqueue_recvbuf_to_head(struct recv_buf *precvbuf, _queue *queue)
+int rtw_enqueue_recvbuf_to_head(struct recv_buf *precvbuf, _queue *queue)
 {
-       unsigned long irqL;
+       _irqL irqL;
 
-       _enter_critical_bh(&queue->lock, &irqL);
+       spin_lock_bh(&queue->lock);
 
        rtw_list_delete(&precvbuf->list);
        rtw_list_insert_head(&precvbuf->list, get_list_head(queue));
 
-       _exit_critical_bh(&queue->lock, &irqL);
+       spin_unlock_bh(&queue->lock);
 
        return _SUCCESS;
 }
 
-sint rtw_enqueue_recvbuf(struct recv_buf *precvbuf, _queue *queue)
+int rtw_enqueue_recvbuf(struct recv_buf *precvbuf, _queue *queue)
 {
-       unsigned long irqL;
-
-       _enter_critical_bh(&queue->lock, &irqL);
+       _irqL irqL;
+       spin_lock_irqsave(&queue->lock, irqL);
 
        rtw_list_delete(&precvbuf->list);
 
        rtw_list_insert_tail(&precvbuf->list, get_list_head(queue));
-
-       _exit_critical_bh(&queue->lock, &irqL);
-
-
+       spin_unlock_irqrestore(&queue->lock, irqL);
        return _SUCCESS;
-
 }
 
 struct recv_buf *rtw_dequeue_recvbuf (_queue *queue)
 {
-       unsigned long irqL;
+       _irqL irqL;
        struct recv_buf *precvbuf;
        _list   *plist, *phead;
 
-       _enter_critical_bh(&queue->lock, &irqL);
+       spin_lock_irqsave(&queue->lock, irqL);
 
-       if (_rtw_queue_empty(queue) == true)
+       if(_rtw_queue_empty(queue) == _TRUE)
        {
                precvbuf = NULL;
        }
@@ -426,23 +386,21 @@ struct recv_buf *rtw_dequeue_recvbuf (_queue *queue)
 
        }
 
-       _exit_critical_bh(&queue->lock, &irqL);
-
+       spin_unlock_irqrestore(&queue->lock, irqL);
 
        return precvbuf;
-
 }
 
-sint recvframe_chkmic(_adapter *adapter,  union recv_frame *precvframe);
-sint recvframe_chkmic(_adapter *adapter,  union recv_frame *precvframe){
+int recvframe_chkmic(_adapter *adapter,  union recv_frame *precvframe);
+int recvframe_chkmic(_adapter *adapter,  union recv_frame *precvframe){
 
-       sint    i,res=_SUCCESS;
+       int     i,res=_SUCCESS;
        u32     datalen;
        u8      miccode[8];
-       u8      bmic_err=false,brpt_micerror = true;
+       u8      bmic_err=_FALSE,brpt_micerror = _TRUE;
        u8      *pframe, *payload,*pframemic;
        u8      *mickey;
-       //u8    *iv,rxdata_key_idx=0;
+       /* u8   *iv,rxdata_key_idx=0; */
        struct  sta_info                *stainfo;
        struct  rx_pkt_attrib   *prxattrib=&precvframe->u.hdr.attrib;
        struct  security_priv   *psecuritypriv=&adapter->securitypriv;
@@ -453,62 +411,54 @@ _func_enter_;
 
        stainfo=rtw_get_stainfo(&adapter->stapriv ,&prxattrib->ta[0]);
 
-       if (prxattrib->encrypt ==_TKIP_)
+       if(prxattrib->encrypt ==_TKIP_)
        {
                RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n recvframe_chkmic:prxattrib->encrypt ==_TKIP_\n"));
                RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n recvframe_chkmic:da=0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x\n",
                        prxattrib->ra[0],prxattrib->ra[1],prxattrib->ra[2],prxattrib->ra[3],prxattrib->ra[4],prxattrib->ra[5]));
 
-               //calculate mic code
-               if (stainfo!= NULL)
+               /* calculate mic code */
+               if(stainfo!= NULL)
                {
-                       if (IS_MCAST(prxattrib->ra))
+                       if(IS_MCAST(prxattrib->ra))
                        {
-                               //mickey=&psecuritypriv->dot118021XGrprxmickey.skey[0];
-                               //iv = precvframe->u.hdr.rx_data+prxattrib->hdrlen;
-                               //rxdata_key_idx =( ((iv[3])>>6)&0x3) ;
                                mickey=&psecuritypriv->dot118021XGrprxmickey[prxattrib->key_index].skey[0];
 
-                               RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n recvframe_chkmic: bcmc key\n"));
-                               //DBG_871X("\n recvframe_chkmic: bcmc key psecuritypriv->dot118021XGrpKeyid(%d),pmlmeinfo->key_index(%d) ,recv key_id(%d)\n",
-                               //                                                              psecuritypriv->dot118021XGrpKeyid,pmlmeinfo->key_index,rxdata_key_idx);
+                               RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n recvframe_chkmic: bcmc key \n"));
 
-                               if (psecuritypriv->binstallGrpkey==false)
+                               if(psecuritypriv->binstallGrpkey==_FALSE)
                                {
                                        res=_FAIL;
                                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n recvframe_chkmic:didn't install group key!!!!!!!!!!\n"));
-                                       DBG_871X("\n recvframe_chkmic:didn't install group key!!!!!!!!!!\n");
+                                       DBG_8723A("\n recvframe_chkmic:didn't install group key!!!!!!!!!!\n");
                                        goto exit;
                                }
                        }
                        else{
                                mickey=&stainfo->dot11tkiprxmickey.skey[0];
-                               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n recvframe_chkmic: unicast key\n"));
+                               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n recvframe_chkmic: unicast key \n"));
                        }
 
-                       datalen=precvframe->u.hdr.len-prxattrib->hdrlen-prxattrib->iv_len-prxattrib->icv_len-8;//icv_len included the mic code
+                       datalen=precvframe->u.hdr.len-prxattrib->hdrlen-prxattrib->iv_len-prxattrib->icv_len-8;/* icv_len included the mic code */
                        pframe=precvframe->u.hdr.rx_data;
                        payload=pframe+prxattrib->hdrlen+prxattrib->iv_len;
 
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n prxattrib->iv_len=%d prxattrib->icv_len=%d\n",prxattrib->iv_len,prxattrib->icv_len));
 
-                       //rtw_seccalctkipmic(&stainfo->dot11tkiprxmickey.skey[0],pframe,payload, datalen ,&miccode[0],(unsigned char)prxattrib->priority); //care the length of the data
-
-                       rtw_seccalctkipmic(mickey,pframe,payload, datalen ,&miccode[0],(unsigned char)prxattrib->priority); //care the length of the data
+                       rtw_seccalctkipmic(mickey,pframe,payload, datalen ,&miccode[0],(unsigned char)prxattrib->priority); /* care the length of the data */
 
                        pframemic=payload+datalen;
 
-                       bmic_err=false;
+                       bmic_err=_FALSE;
 
-                       for (i=0;i<8;i++){
-                               if (miccode[i] != *(pframemic+i)){
+                       for(i=0;i<8;i++){
+                               if(miccode[i] != *(pframemic+i)){
                                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("recvframe_chkmic:miccode[%d](%02x) != *(pframemic+%d)(%02x) ",i,miccode[i],i,*(pframemic+i)));
-                                       bmic_err=true;
+                                       bmic_err=_TRUE;
                                }
                        }
 
-
-                       if (bmic_err==true){
+                       if(bmic_err==_TRUE){
 
                                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n *(pframemic-8)-*(pframemic-1)=0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x\n",
                                        *(pframemic-8),*(pframemic-7),*(pframemic-6),*(pframemic-5),*(pframemic-4),*(pframemic-3),*(pframemic-2),*(pframemic-1)));
@@ -518,7 +468,7 @@ _func_enter_;
                                {
                                        uint i;
                                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n ======demp packet (len=%d)======\n",precvframe->u.hdr.len));
-                                       for (i=0;i<precvframe->u.hdr.len;i=i+8){
+                                       for(i=0;i<precvframe->u.hdr.len;i=i+8){
                                                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x:0x%02x",
                                                        *(precvframe->u.hdr.rx_data+i),*(precvframe->u.hdr.rx_data+i+1),
                                                        *(precvframe->u.hdr.rx_data+i+2),*(precvframe->u.hdr.rx_data+i+3),
@@ -526,38 +476,38 @@ _func_enter_;
                                                        *(precvframe->u.hdr.rx_data+i+6),*(precvframe->u.hdr.rx_data+i+7)));
                                        }
                                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n ======demp packet end [len=%d]======\n",precvframe->u.hdr.len));
-                                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n hrdlen=%d,\n",prxattrib->hdrlen));
+                                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("\n hrdlen=%d, \n",prxattrib->hdrlen));
                                }
 
                                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("ra=0x%.2x 0x%.2x 0x%.2x 0x%.2x 0x%.2x 0x%.2x psecuritypriv->binstallGrpkey=%d ",
                                        prxattrib->ra[0],prxattrib->ra[1],prxattrib->ra[2],
                                        prxattrib->ra[3],prxattrib->ra[4],prxattrib->ra[5],psecuritypriv->binstallGrpkey));
 
-                               // double check key_index for some timing issue ,
-                               // cannot compare with psecuritypriv->dot118021XGrpKeyid also cause timing issue
-                               if ((IS_MCAST(prxattrib->ra)==true)  && (prxattrib->key_index != pmlmeinfo->key_index ))
-                                       brpt_micerror = false;
+                               /*  double check key_index for some timing issue , */
+                               /*  cannot compare with psecuritypriv->dot118021XGrpKeyid also cause timing issue */
+                               if((IS_MCAST(prxattrib->ra)==_TRUE)  && (prxattrib->key_index != pmlmeinfo->key_index ))
+                                       brpt_micerror = _FALSE;
 
-                               if ((prxattrib->bdecrypted ==true)&& (brpt_micerror == true))
+                               if((prxattrib->bdecrypted ==_TRUE)&& (brpt_micerror == _TRUE))
                                {
                                        rtw_handle_tkip_mic_err(adapter,(u8)IS_MCAST(prxattrib->ra));
                                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" mic error :prxattrib->bdecrypted=%d ",prxattrib->bdecrypted));
-                                       DBG_871X(" mic error :prxattrib->bdecrypted=%d\n",prxattrib->bdecrypted);
+                                       DBG_8723A(" mic error :prxattrib->bdecrypted=%d\n",prxattrib->bdecrypted);
                                }
                                else
                                {
                                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" mic error :prxattrib->bdecrypted=%d ",prxattrib->bdecrypted));
-                                       DBG_871X(" mic error :prxattrib->bdecrypted=%d\n",prxattrib->bdecrypted);
+                                       DBG_8723A(" mic error :prxattrib->bdecrypted=%d\n",prxattrib->bdecrypted);
                                }
 
                                res=_FAIL;
 
                        }
                        else{
-                               //mic checked ok
-                               if ((psecuritypriv->bcheck_grpkey ==false)&&(IS_MCAST(prxattrib->ra)==true)){
-                                       psecuritypriv->bcheck_grpkey =true;
-                                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("psecuritypriv->bcheck_grpkey =true"));
+                               /* mic checked ok */
+                               if((psecuritypriv->bcheck_grpkey ==_FALSE)&&(IS_MCAST(prxattrib->ra)==_TRUE)){
+                                       psecuritypriv->bcheck_grpkey =_TRUE;
+                                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("psecuritypriv->bcheck_grpkey =_TRUE"));
                                }
                        }
 
@@ -576,10 +526,9 @@ exit:
 _func_exit_;
 
        return res;
-
 }
 
-//decrypt and set the ivlen,icvlen of the recv_frame
+/* decrypt and set the ivlen,icvlen of the recv_frame */
 union recv_frame * decryptor(_adapter *padapter,union recv_frame *precv_frame);
 union recv_frame * decryptor(_adapter *padapter,union recv_frame *precv_frame)
 {
@@ -592,16 +541,16 @@ _func_enter_;
 
        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("prxstat->decrypted=%x prxattrib->encrypt = 0x%03x\n",prxattrib->bdecrypted,prxattrib->encrypt));
 
-       if (prxattrib->encrypt>0)
+       if(prxattrib->encrypt>0)
        {
                u8 *iv = precv_frame->u.hdr.rx_data+prxattrib->hdrlen;
                prxattrib->key_index = ( ((iv[3])>>6)&0x3) ;
 
-               if (prxattrib->key_index > WEP_KEYS)
+               if(prxattrib->key_index > WEP_KEYS)
                {
-                       DBG_871X("prxattrib->key_index(%d) > WEP_KEYS\n", prxattrib->key_index);
+                       DBG_8723A("prxattrib->key_index(%d) > WEP_KEYS \n", prxattrib->key_index);
 
-                       switch (prxattrib->encrypt){
+                       switch(prxattrib->encrypt){
                                case _WEP40_:
                                case _WEP104_:
                                        prxattrib->key_index = psecuritypriv->dot11PrivacyKeyIndex;
@@ -615,20 +564,20 @@ _func_enter_;
                }
        }
 
-       if ((prxattrib->encrypt>0) && ((prxattrib->bdecrypted==0) ||(psecuritypriv->sw_decrypt==true)))
+       if((prxattrib->encrypt>0) && ((prxattrib->bdecrypted==0) ||(psecuritypriv->sw_decrypt==_TRUE)))
        {
 
 #ifdef CONFIG_CONCURRENT_MODE
-               if (!IS_MCAST(prxattrib->ra))//bc/mc packets use sw decryption for concurrent mode
+               if(!IS_MCAST(prxattrib->ra))/* bc/mc packets use sw decryption for concurrent mode */
 #endif
-               psecuritypriv->hw_decrypted=false;
+               psecuritypriv->hw_decrypted=_FALSE;
 
                #ifdef DBG_RX_DECRYPTOR
-               DBG_871X("prxstat->bdecrypted:%d,  prxattrib->encrypt:%d,  Setting psecuritypriv->hw_decrypted = %d\n"
+               DBG_8723A("prxstat->bdecrypted:%d,  prxattrib->encrypt:%d,  Setting psecuritypriv->hw_decrypted = %d\n"
                        , prxattrib->bdecrypted ,prxattrib->encrypt, psecuritypriv->hw_decrypted);
                #endif
 
-               switch (prxattrib->encrypt){
+               switch(prxattrib->encrypt){
                case _WEP40_:
                case _WEP104_:
                        rtw_wep_decrypt(padapter, (u8 *)precv_frame);
@@ -648,15 +597,15 @@ _func_enter_;
                                break;
                }
        }
-       else if (prxattrib->bdecrypted==1
+       else if(prxattrib->bdecrypted==1
                && prxattrib->encrypt >0
                && (psecuritypriv->busetkipkey==1 || prxattrib->encrypt !=_TKIP_ )
                )
        {
                {
-                       psecuritypriv->hw_decrypted=true;
+                       psecuritypriv->hw_decrypted=_TRUE;
                        #ifdef DBG_RX_DECRYPTOR
-                       DBG_871X("prxstat->bdecrypted:%d,  prxattrib->encrypt:%d,  Setting psecuritypriv->hw_decrypted = %d\n"
+                       DBG_8723A("prxstat->bdecrypted:%d,  prxattrib->encrypt:%d,  Setting psecuritypriv->hw_decrypted = %d\n"
                        , prxattrib->bdecrypted ,prxattrib->encrypt, psecuritypriv->hw_decrypted);
                        #endif
 
@@ -664,26 +613,23 @@ _func_enter_;
        }
        else {
                #ifdef DBG_RX_DECRYPTOR
-               DBG_871X("prxstat->bdecrypted:%d,  prxattrib->encrypt:%d,  psecuritypriv->hw_decrypted:%d\n"
+               DBG_8723A("prxstat->bdecrypted:%d,  prxattrib->encrypt:%d,  psecuritypriv->hw_decrypted:%d\n"
                , prxattrib->bdecrypted ,prxattrib->encrypt, psecuritypriv->hw_decrypted);
                #endif
        }
 
-       if (res == _FAIL)
+       if(res == _FAIL)
        {
                rtw_free_recvframe(return_packet,&padapter->recvpriv.free_recv_queue);
                return_packet = NULL;
 
        }
-       //recvframe_chkmic(adapter, precv_frame);   //move to recvframme_defrag function
 
 _func_exit_;
 
        return return_packet;
-
 }
-//###set the security information in the recv_frame
-union recv_frame * portctrl(_adapter *adapter,union recv_frame * precv_frame);
+/* set the security information in the recv_frame */
 union recv_frame * portctrl(_adapter *adapter,union recv_frame * precv_frame)
 {
        u8   *psta_addr, *ptr;
@@ -693,7 +639,7 @@ union recv_frame * portctrl(_adapter *adapter,union recv_frame * precv_frame)
        struct sta_priv *pstapriv ;
        union recv_frame *prtnframe;
        u16     ether_type=0;
-       u16  eapol_type = 0x888e;//for Funia BD's WPA issue
+       u16  eapol_type = 0x888e;/* for Funia BD's WPA issue */
        struct rx_pkt_attrib *pattrib;
 
 _func_enter_;
@@ -712,17 +658,17 @@ _func_enter_;
 
        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("########portctrl:adapter->securitypriv.dot11AuthAlgrthm=%d\n",adapter->securitypriv.dot11AuthAlgrthm));
 
-       if (auth_alg==2)
+       if(auth_alg==2)
        {
                if ((psta!=NULL) && (psta->ieee8021x_blocked))
                {
-                       //blocked
-                       //only accept EAPOL frame
+                       /* blocked */
+                       /* only accept EAPOL frame */
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("########portctrl:psta->ieee8021x_blocked==1\n"));
 
                        prtnframe=precv_frame;
 
-                       //get ether_type
+                       /* get ether_type */
                        ptr=ptr+pfhdr->attrib.hdrlen+pfhdr->attrib.iv_len+LLC_HEADER_SIZE;
                        memcpy(&ether_type,ptr, 2);
                        ether_type= ntohs((unsigned short )ether_type);
@@ -731,15 +677,15 @@ _func_enter_;
                                prtnframe=precv_frame;
                        }
                        else {
-                               //free this frame
+                               /* free this frame */
                                rtw_free_recvframe(precv_frame, &adapter->recvpriv.free_recv_queue);
                                prtnframe=NULL;
                        }
                }
                else
                {
-                       //allowed
-                       //check decryption status, and decrypt the frame if needed
+                       /* allowed */
+                       /* check decryption status, and decrypt the frame if needed */
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("########portctrl:psta->ieee8021x_blocked==0\n"));
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("portctrl:precv_frame->hdr.attrib.privacy=%x\n",precv_frame->u.hdr.attrib.privacy));
 
@@ -749,11 +695,11 @@ _func_enter_;
                        }
 
                        prtnframe=precv_frame;
-                       //check is the EAPOL frame or not (Rekey)
-                       if (ether_type == eapol_type){
+                       /* check is the EAPOL frame or not (Rekey) */
+                       if(ether_type == eapol_type){
 
                                RT_TRACE(_module_rtl871x_recv_c_,_drv_notice_,("########portctrl:ether_type == 0x888e\n"));
-                               //check Rekey
+                               /* check Rekey */
 
                                prtnframe=precv_frame;
                        }
@@ -770,29 +716,28 @@ _func_enter_;
 _func_exit_;
 
                return prtnframe;
-
 }
 
-sint recv_decache(union recv_frame *precv_frame, u8 bretry, struct stainfo_rxcache *prxcache);
-sint recv_decache(union recv_frame *precv_frame, u8 bretry, struct stainfo_rxcache *prxcache)
+int recv_decache(union recv_frame *precv_frame, u8 bretry, struct stainfo_rxcache *prxcache);
+int recv_decache(union recv_frame *precv_frame, u8 bretry, struct stainfo_rxcache *prxcache)
 {
-       sint tid = precv_frame->u.hdr.attrib.priority;
+       int tid = precv_frame->u.hdr.attrib.priority;
 
        u16 seq_ctrl = ( (precv_frame->u.hdr.attrib.seq_num&0xffff) << 4) |
                (precv_frame->u.hdr.attrib.frag_num & 0xf);
 
 _func_enter_;
 
-       if (tid>15)
+       if(tid>15)
        {
                RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_, ("recv_decache, (tid>15)! seq_ctrl=0x%x, tid=0x%x\n", seq_ctrl, tid));
 
                return _FAIL;
        }
 
-       if (1)//if (bretry)
+       if(1)/* if(bretry) */
        {
-               if (seq_ctrl == prxcache->tid_rxseq[tid])
+               if(seq_ctrl == prxcache->tid_rxseq[tid])
                {
                        RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_, ("recv_decache, seq_ctrl=0x%x, tid=0x%x, tid_rxseq=0x%x\n", seq_ctrl, tid, prxcache->tid_rxseq[tid]));
 
@@ -805,7 +750,6 @@ _func_enter_;
 _func_exit_;
 
        return _SUCCESS;
-
 }
 
 void process_pwrbit_data(_adapter *padapter, union recv_frame *precv_frame);
@@ -822,30 +766,30 @@ void process_pwrbit_data(_adapter *padapter, union recv_frame *precv_frame)
 
        pwrbit = GetPwrMgt(ptr);
 
-       if (psta)
+       if(psta)
        {
-               if (pwrbit)
+               if(pwrbit)
                {
-                       if (!(psta->state & WIFI_SLEEP_STATE))
+                       if(!(psta->state & WIFI_SLEEP_STATE))
                        {
-                               //psta->state |= WIFI_SLEEP_STATE;
-                               //pstapriv->sta_dz_bitmap |= BIT(psta->aid);
+                               /* psta->state |= WIFI_SLEEP_STATE; */
+                               /* pstapriv->sta_dz_bitmap |= BIT(psta->aid); */
 
                                stop_sta_xmit(padapter, psta);
 
-                               //DBG_871X("to sleep, sta_dz_bitmap=%x\n", pstapriv->sta_dz_bitmap);
+                               /* DBG_8723A("to sleep, sta_dz_bitmap=%x\n", pstapriv->sta_dz_bitmap); */
                        }
                }
                else
                {
-                       if (psta->state & WIFI_SLEEP_STATE)
+                       if(psta->state & WIFI_SLEEP_STATE)
                        {
-                               //psta->state ^= WIFI_SLEEP_STATE;
-                               //pstapriv->sta_dz_bitmap &= ~BIT(psta->aid);
+                               /* psta->state ^= WIFI_SLEEP_STATE; */
+                               /* pstapriv->sta_dz_bitmap &= ~BIT(psta->aid); */
 
                                wakeup_sta_to_xmit(padapter, psta);
 
-                               //DBG_871X("to wakeup, sta_dz_bitmap=%x\n", pstapriv->sta_dz_bitmap);
+                               /* DBG_8723A("to wakeup, sta_dz_bitmap=%x\n", pstapriv->sta_dz_bitmap); */
                        }
                }
 
@@ -864,28 +808,28 @@ void process_wmmps_data(_adapter *padapter, union recv_frame *precv_frame)
 
        psta = rtw_get_stainfo(pstapriv, pattrib->src);
 
-       if (!psta) return;
+       if(!psta) return;
 
 #ifdef CONFIG_TDLS
-       if ( !(psta->tdls_sta_state & TDLS_LINKED_STATE ) )
+       if( !(psta->tdls_sta_state & TDLS_LINKED_STATE ) )
        {
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
-       if (!psta->qos_option)
+       if(!psta->qos_option)
                return;
 
-       if (!(psta->qos_info&0xf))
+       if(!(psta->qos_info&0xf))
                return;
 
 #ifdef CONFIG_TDLS
        }
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
-       if (psta->state&WIFI_SLEEP_STATE)
+       if(psta->state&WIFI_SLEEP_STATE)
        {
                u8 wmmps_ac=0;
 
-               switch (pattrib->priority)
+               switch(pattrib->priority)
                {
                        case 1:
                        case 2:
@@ -906,40 +850,38 @@ void process_wmmps_data(_adapter *padapter, union recv_frame *precv_frame)
                                break;
                }
 
-               if (wmmps_ac)
+               if(wmmps_ac)
                {
-                       if (psta->sleepq_ac_len>0)
+                       if(psta->sleepq_ac_len>0)
                        {
-                               //process received triggered frame
+                               /* process received triggered frame */
                                xmit_delivery_enabled_frames(padapter, psta);
                        }
                        else
                        {
-                               //issue one qos null frame with More data bit = 0 and the EOSP bit set (=1)
+                               /* issue one qos null frame with More data bit = 0 and the EOSP bit set (=1) */
                                issue_qos_nulldata(padapter, psta->hwaddr, (u16)pattrib->priority, 0, 0);
                        }
                }
 
        }
 
-
 #endif
-
 }
 
 #ifdef CONFIG_TDLS
-sint OnTDLS(_adapter *adapter, union recv_frame *precv_frame)
+int OnTDLS(_adapter *adapter, union recv_frame *precv_frame)
 {
        struct rx_pkt_attrib    *pattrib = & precv_frame->u.hdr.attrib;
-       sint ret = _SUCCESS;
+       int ret = _SUCCESS;
        u8 *paction = get_recvframe_data(precv_frame);
        u8 category_field = 1;
 #ifdef CONFIG_WFD
        u8 WFA_OUI[3] = { 0x50, 0x6f, 0x9a };
-#endif //CONFIG_WFD
+#endif /* CONFIG_WFD */
        struct tdls_info *ptdlsinfo = &(adapter->tdlsinfo);
 
-       //point to action field
+       /* point to action field */
        paction+=pattrib->hdrlen
                        + pattrib->iv_len
                        + SNAP_SIZE
@@ -947,76 +889,75 @@ sint OnTDLS(_adapter *adapter, union recv_frame *precv_frame)
                        + PAYLOAD_TYPE_LEN
                        + category_field;
 
-       if (ptdlsinfo->enable == 0)
+       if(ptdlsinfo->enable == 0)
        {
-               DBG_871X("recv tdls frame, "
+               DBG_8723A("recv tdls frame, "
                                "but tdls haven't enabled\n");
                ret = _FAIL;
                return ret;
        }
 
-       switch (*paction){
+       switch(*paction){
                case TDLS_SETUP_REQUEST:
-                       DBG_871X("recv tdls setup request frame\n");
+                       DBG_8723A("recv tdls setup request frame\n");
                        ret=On_TDLS_Setup_Req(adapter, precv_frame);
                        break;
                case TDLS_SETUP_RESPONSE:
-                       DBG_871X("recv tdls setup response frame\n");
+                       DBG_8723A("recv tdls setup response frame\n");
                        ret=On_TDLS_Setup_Rsp(adapter, precv_frame);
                        break;
                case TDLS_SETUP_CONFIRM:
-                       DBG_871X("recv tdls setup confirm frame\n");
+                       DBG_8723A("recv tdls setup confirm frame\n");
                        ret=On_TDLS_Setup_Cfm(adapter, precv_frame);
                        break;
                case TDLS_TEARDOWN:
-                       DBG_871X("recv tdls teardown, free sta_info\n");
+                       DBG_8723A("recv tdls teardown, free sta_info\n");
                        ret=On_TDLS_Teardown(adapter, precv_frame);
                        break;
                case TDLS_DISCOVERY_REQUEST:
-                       DBG_871X("recv tdls discovery request frame\n");
+                       DBG_8723A("recv tdls discovery request frame\n");
                        ret=On_TDLS_Dis_Req(adapter, precv_frame);
                        break;
                case TDLS_PEER_TRAFFIC_RESPONSE:
-                       DBG_871X("recv tdls peer traffic response frame\n");
+                       DBG_8723A("recv tdls peer traffic response frame\n");
                        ret=On_TDLS_Peer_Traffic_Rsp(adapter, precv_frame);
                        break;
                case TDLS_CHANNEL_SWITCH_REQUEST:
-                       DBG_871X("recv tdls channel switch request frame\n");
+                       DBG_8723A("recv tdls channel switch request frame\n");
                        ret=On_TDLS_Ch_Switch_Req(adapter, precv_frame);
                        break;
                case TDLS_CHANNEL_SWITCH_RESPONSE:
-                       DBG_871X("recv tdls channel switch response frame\n");
+                       DBG_8723A("recv tdls channel switch response frame\n");
                        ret=On_TDLS_Ch_Switch_Rsp(adapter, precv_frame);
                        break;
 #ifdef CONFIG_WFD
-               case 0x50:      //First byte of WFA OUI
-                       if ( _rtw_memcmp(WFA_OUI, (paction), 3) )
+               case 0x50:      /* First byte of WFA OUI */
+                       if (!memcmp(WFA_OUI, (paction), 3))
                        {
-                               if ( *(paction + 3) == 0x04)    //Probe request frame
+                               if( *(paction + 3) == 0x04)     /* Probe request frame */
                                {
-                                       //WFDTDLS: for sigma test, do not setup direct link automatically
+                                       /* WFDTDLS: for sigma test, do not setup direct link automatically */
                                        ptdlsinfo->dev_discovered = 1;
-                                       DBG_871X("recv tunneled probe request frame\n");
+                                       DBG_8723A("recv tunneled probe request frame\n");
                                        issue_tunneled_probe_rsp(adapter, precv_frame);
                                }
-                               if ( *(paction + 3) == 0x05)    //Probe response frame
+                               if( *(paction + 3) == 0x05)     /* Probe response frame */
                                {
-                                       //WFDTDLS: for sigma test, do not setup direct link automatically
+                                       /* WFDTDLS: for sigma test, do not setup direct link automatically */
                                        ptdlsinfo->dev_discovered = 1;
-                                       DBG_871X("recv tunneled probe response frame\n");
+                                       DBG_8723A("recv tunneled probe response frame\n");
                                }
                        }
                        break;
-#endif //CONFIG_WFD
+#endif /* CONFIG_WFD */
                default:
-                       DBG_871X("receive TDLS frame but not supported\n");
+                       DBG_8723A("receive TDLS frame but not supported\n");
                        ret=_FAIL;
                        break;
        }
 
 exit:
        return ret;
-
 }
 #endif
 
@@ -1034,75 +975,74 @@ void count_rx_stats(_adapter *padapter, union recv_frame *prframe, struct sta_in
 
        padapter->mlmepriv.LinkDetectInfo.NumRxOkInPeriod++;
 
-       if ( (!MacAddr_isBcst(pattrib->dst)) && (!IS_MCAST(pattrib->dst))){
+       if( (!MacAddr_isBcst(pattrib->dst)) && (!IS_MCAST(pattrib->dst))){
                padapter->mlmepriv.LinkDetectInfo.NumRxUnicastOkInPeriod++;
        }
 
-       if (sta)
+       if(sta)
                psta = sta;
        else
                psta = prframe->u.hdr.psta;
 
-       if (psta)
+       if(psta)
        {
                pstats = &psta->sta_stats;
 
                pstats->rx_data_pkts++;
                pstats->rx_bytes += sz;
        }
-
 }
 
-sint sta2sta_data_frame(
+int sta2sta_data_frame(
        _adapter *adapter,
        union recv_frame *precv_frame,
        struct sta_info**psta
 );
-sint sta2sta_data_frame(
+int sta2sta_data_frame(
        _adapter *adapter,
        union recv_frame *precv_frame,
        struct sta_info**psta
 )
 {
        u8 *ptr = precv_frame->u.hdr.rx_data;
-       sint ret = _SUCCESS;
+       int ret = _SUCCESS;
        struct rx_pkt_attrib *pattrib = & precv_frame->u.hdr.attrib;
        struct  sta_priv                *pstapriv = &adapter->stapriv;
        struct  mlme_priv       *pmlmepriv = &adapter->mlmepriv;
        u8 *mybssid  = get_bssid(pmlmepriv);
        u8 *myhwaddr = myid(&adapter->eeprompriv);
        u8 * sta_addr = NULL;
-       sint bmcast = IS_MCAST(pattrib->dst);
+       int bmcast = IS_MCAST(pattrib->dst);
 
 #ifdef CONFIG_TDLS
        struct tdls_info *ptdlsinfo = &adapter->tdlsinfo;
        struct sta_info *ptdls_sta=NULL;
        u8 *psnap_type=ptr+pattrib->hdrlen + pattrib->iv_len+SNAP_SIZE;
-       //frame body located after [+2]: ether-type, [+1]: payload type
+       /* frame body located after [+2]: ether-type, [+1]: payload type */
        u8 *pframe_body = psnap_type+2+1;
 #endif
 
 _func_enter_;
 
-       if ((check_fwstate(pmlmepriv, WIFI_ADHOC_STATE) == true) ||
-               (check_fwstate(pmlmepriv, WIFI_ADHOC_MASTER_STATE) == true))
+       if ((check_fwstate(pmlmepriv, WIFI_ADHOC_STATE) == _TRUE) ||
+               (check_fwstate(pmlmepriv, WIFI_ADHOC_MASTER_STATE) == _TRUE))
        {
 
-               // filter packets that SA is myself or multicast or broadcast
-               if (_rtw_memcmp(myhwaddr, pattrib->src, ETH_ALEN)){
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" SA==myself\n"));
+               /*  filter packets that SA is myself or multicast or broadcast */
+               if (!memcmp(myhwaddr, pattrib->src, ETH_ALEN)) {
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" SA==myself \n"));
                        ret= _FAIL;
                        goto exit;
                }
 
-               if ( (!_rtw_memcmp(myhwaddr, pattrib->dst, ETH_ALEN))   && (!bmcast) ){
+               if ((memcmp(myhwaddr, pattrib->dst, ETH_ALEN)) && (!bmcast)) {
                        ret= _FAIL;
                        goto exit;
                }
 
-               if ( _rtw_memcmp(pattrib->bssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
-                  _rtw_memcmp(mybssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
-                  (!_rtw_memcmp(pattrib->bssid, mybssid, ETH_ALEN)) ) {
+               if (!memcmp(pattrib->bssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
+                   !memcmp(mybssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
+                   memcmp(pattrib->bssid, mybssid, ETH_ALEN)) {
                        ret= _FAIL;
                        goto exit;
                }
@@ -1110,68 +1050,67 @@ _func_enter_;
                sta_addr = pattrib->src;
 
        }
-       else if (check_fwstate(pmlmepriv, WIFI_STATION_STATE) == true)
+       else if(check_fwstate(pmlmepriv, WIFI_STATION_STATE) == _TRUE)
        {
 #ifdef CONFIG_TDLS
 
-               //direct link data transfer
-               if (ptdlsinfo->setup_state == TDLS_LINKED_STATE){
+               /* direct link data transfer */
+               if(ptdlsinfo->setup_state == TDLS_LINKED_STATE){
                        ptdls_sta = rtw_get_stainfo(pstapriv, pattrib->src);
-                       if (ptdls_sta==NULL)
+                       if(ptdls_sta==NULL)
                        {
                                ret=_FAIL;
                                goto exit;
                        }
-                       else if (ptdls_sta->tdls_sta_state&TDLS_LINKED_STATE)
+                       else if(ptdls_sta->tdls_sta_state&TDLS_LINKED_STATE)
                        {
 
-                               //drop QoS-SubType Data, including QoS NULL, excluding QoS-Data
-                               if ( (GetFrameSubType(ptr) & WIFI_QOS_DATA_TYPE )== WIFI_QOS_DATA_TYPE)
+                               /* drop QoS-SubType Data, including QoS NULL, excluding QoS-Data */
+                               if( (GetFrameSubType(ptr) & WIFI_QOS_DATA_TYPE )== WIFI_QOS_DATA_TYPE)
                                {
-                                       if (GetFrameSubType(ptr)&(BIT(4)|BIT(5)|BIT(6)))
+                                       if(GetFrameSubType(ptr)&(BIT(4)|BIT(5)|BIT(6)))
                                        {
-                                               DBG_871X("drop QoS-Sybtype Data\n");
+                                               DBG_8723A("drop QoS-Sybtype Data\n");
                                        ret= _FAIL;
                                        goto exit;
                                        }
                                }
-                               // filter packets that SA is myself or multicast or broadcast
-                               if (_rtw_memcmp(myhwaddr, pattrib->src, ETH_ALEN)){
+                               /*  filter packets that SA is myself or multicast or broadcast */
+                               if (!memcmp(myhwaddr, pattrib->src, ETH_ALEN)){
                                        ret= _FAIL;
                                        goto exit;
                                }
-                               // da should be for me
-                               if ((!_rtw_memcmp(myhwaddr, pattrib->dst, ETH_ALEN))&& (!bmcast))
+                               /*  da should be for me */
+                               if ((memcmp(myhwaddr, pattrib->dst, ETH_ALEN))&& (!bmcast))
                                {
                                        ret= _FAIL;
                                        goto exit;
                                }
-                               // check BSSID
-                               if ( _rtw_memcmp(pattrib->bssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
-                                    _rtw_memcmp(mybssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
-                                    (!_rtw_memcmp(pattrib->bssid, mybssid, ETH_ALEN)) )
-                               {
+                               /*  check BSSID */
+                               if (!memcmp(pattrib->bssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
+                                   !memcmp(mybssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
+                                   memcmp(pattrib->bssid, mybssid, ETH_ALEN)) {
                                        ret= _FAIL;
                                        goto exit;
                                }
 
-                               //process UAPSD tdls sta
+                               /* process UAPSD tdls sta */
                                process_pwrbit_data(adapter, precv_frame);
 
-                               // if NULL-frame, check pwrbit
+                               /*  if NULL-frame, check pwrbit */
                                if ((GetFrameSubType(ptr)) == WIFI_DATA_NULL)
                                {
-                                       //NULL-frame with pwrbit=1, buffer_STA should buffer frames for sleep_STA
-                                       if (GetPwrMgt(ptr))
+                                       /* NULL-frame with pwrbit=1, buffer_STA should buffer frames for sleep_STA */
+                                       if(GetPwrMgt(ptr))
                                        {
-                                               DBG_871X("TDLS: recv peer null frame with pwr bit 1\n");
+                                               DBG_8723A("TDLS: recv peer null frame with pwr bit 1\n");
                                                ptdls_sta->tdls_sta_state|=TDLS_PEER_SLEEP_STATE;
-                                       // it would be triggered when we are off channel and receiving NULL DATA
-                                       // we can confirm that peer STA is at off channel
+                                       /*  it would be triggered when we are off channel and receiving NULL DATA */
+                                       /*  we can confirm that peer STA is at off channel */
                                        }
-                                       else if (ptdls_sta->tdls_sta_state&TDLS_CH_SWITCH_ON_STATE)
+                                       else if(ptdls_sta->tdls_sta_state&TDLS_CH_SWITCH_ON_STATE)
                                        {
-                                               if ((ptdls_sta->tdls_sta_state & TDLS_PEER_AT_OFF_STATE) != TDLS_PEER_AT_OFF_STATE)
+                                               if((ptdls_sta->tdls_sta_state & TDLS_PEER_AT_OFF_STATE) != TDLS_PEER_AT_OFF_STATE)
                                                {
                                                        issue_nulldata_to_TDLS_peer_STA(adapter, ptdls_sta, 0);
                                                        ptdls_sta->tdls_sta_state |= TDLS_PEER_AT_OFF_STATE;
@@ -1182,9 +1121,9 @@ _func_enter_;
                                        ret= _FAIL;
                                        goto exit;
                                }
-                               //receive some of all TDLS management frames, process it at ON_TDLS
-                               if ((_rtw_memcmp(psnap_type, SNAP_ETH_TYPE_TDLS, 2))){
-                                       ret= OnTDLS(adapter, precv_frame);
+                               /* receive some of all TDLS management frames, process it at ON_TDLS */
+                               if (!memcmp(psnap_type, SNAP_ETH_TYPE_TDLS, 2)){
+                                       ret = OnTDLS(adapter, precv_frame);
                                        goto exit;
                                }
 
@@ -1194,10 +1133,10 @@ _func_enter_;
 
                }
                else
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
                {
-                       // For Station mode, sa and bssid should always be BSSID, and DA is my mac-address
-                       if (!_rtw_memcmp(pattrib->bssid, pattrib->src, ETH_ALEN) )
+                       /*  For Station mode, sa and bssid should always be BSSID, and DA is my mac-address */
+                       if (memcmp(pattrib->bssid, pattrib->src, ETH_ALEN))
                        {
                                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("bssid != TA under STATION_MODE; drop pkt\n"));
                                ret= _FAIL;
@@ -1208,20 +1147,20 @@ _func_enter_;
                }
 
        }
-       else if (check_fwstate(pmlmepriv, WIFI_AP_STATE) == true)
+       else if(check_fwstate(pmlmepriv, WIFI_AP_STATE) == _TRUE)
        {
                if (bmcast)
                {
-                       // For AP mode, if DA == MCAST, then BSSID should be also MCAST
+                       /*  For AP mode, if DA == MCAST, then BSSID should be also MCAST */
                        if (!IS_MCAST(pattrib->bssid)){
                                        ret= _FAIL;
                                        goto exit;
                        }
                }
-               else // not mc-frame
+               else /*  not mc-frame */
                {
-                       // For AP mode, if DA is non-MCAST, then it must be BSSID, and bssid == BSSID
-                       if (!_rtw_memcmp(pattrib->bssid, pattrib->dst, ETH_ALEN)) {
+                       /*  For AP mode, if DA is non-MCAST, then it must be BSSID, and bssid == BSSID */
+                       if (memcmp(pattrib->bssid, pattrib->dst, ETH_ALEN)) {
                                ret= _FAIL;
                                goto exit;
                        }
@@ -1230,7 +1169,7 @@ _func_enter_;
                }
 
        }
-       else if (check_fwstate(pmlmepriv, WIFI_MP_STATE) == true)
+       else if(check_fwstate(pmlmepriv, WIFI_MP_STATE) == _TRUE)
        {
                memcpy(pattrib->dst, GetAddr1Ptr(ptr), ETH_ALEN);
                memcpy(pattrib->src, GetAddr2Ptr(ptr), ETH_ALEN);
@@ -1245,27 +1184,18 @@ _func_enter_;
                ret  = _FAIL;
        }
 
-
-
-       if (bmcast)
+       if(bmcast)
                *psta = rtw_get_bcmc_stainfo(adapter);
        else
-               *psta = rtw_get_stainfo(pstapriv, sta_addr); // get ap_info
+               *psta = rtw_get_stainfo(pstapriv, sta_addr); /*  get ap_info */
 
 #ifdef CONFIG_TDLS
-       if (ptdls_sta != NULL)
+       if(ptdls_sta != NULL)
                *psta = ptdls_sta;
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
        if (*psta == NULL) {
                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("can't get psta under sta2sta_data_frame ; drop pkt\n"));
-#ifdef CONFIG_MP_INCLUDED
-               if (adapter->registrypriv.mp_mode == 1)
-               {
-                       if (check_fwstate(pmlmepriv, WIFI_MP_STATE) == true)
-                       adapter->mppriv.rx_pktloss++;
-               }
-#endif
                ret= _FAIL;
                goto exit;
        }
@@ -1273,138 +1203,107 @@ _func_enter_;
 exit:
 _func_exit_;
        return ret;
-
 }
 
-sint ap2sta_data_frame(
+int ap2sta_data_frame(
        _adapter *adapter,
        union recv_frame *precv_frame,
        struct sta_info**psta );
-sint ap2sta_data_frame(
+int ap2sta_data_frame(
        _adapter *adapter,
        union recv_frame *precv_frame,
        struct sta_info**psta )
 {
        u8 *ptr = precv_frame->u.hdr.rx_data;
        struct rx_pkt_attrib *pattrib = & precv_frame->u.hdr.attrib;
-       sint ret = _SUCCESS;
+       int ret = _SUCCESS;
        struct  sta_priv                *pstapriv = &adapter->stapriv;
        struct  mlme_priv       *pmlmepriv = &adapter->mlmepriv;
        u8 *mybssid  = get_bssid(pmlmepriv);
        u8 *myhwaddr = myid(&adapter->eeprompriv);
-       sint bmcast = IS_MCAST(pattrib->dst);
+       int bmcast = IS_MCAST(pattrib->dst);
 
 _func_enter_;
 
-       if ((check_fwstate(pmlmepriv, WIFI_STATION_STATE) == true)
-               && (check_fwstate(pmlmepriv, _FW_LINKED) == true
-                       || check_fwstate(pmlmepriv, _FW_UNDER_LINKING) == true  )
+       if ((check_fwstate(pmlmepriv, WIFI_STATION_STATE) == _TRUE)
+               && (check_fwstate(pmlmepriv, _FW_LINKED) == _TRUE
+                       || check_fwstate(pmlmepriv, _FW_UNDER_LINKING) == _TRUE )
                )
        {
 
-               // if NULL-frame, drop packet
-               if ((GetFrameSubType(ptr)) == WIFI_DATA_NULL)
-               {
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,(" NULL frame\n"));
-                       #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s NULL frame\n", __FUNCTION__);
-                       #endif
-                       ret= _FAIL;
-                       goto exit;
-               }
-
-               //drop QoS-SubType Data, including QoS NULL, excluding QoS-Data
-               if ( (GetFrameSubType(ptr) & WIFI_QOS_DATA_TYPE )== WIFI_QOS_DATA_TYPE)
-               {
-                       if (GetFrameSubType(ptr)&(BIT(4)|BIT(5)|BIT(6)))
-                       {
-                               #ifdef DBG_RX_DROP_FRAME
-                               DBG_871X("DBG_RX_DROP_FRAME %s drop QoS-SubType Data, including QoS NULL, excluding QoS-Data\n", __FUNCTION__);
-                               #endif
-                               ret= _FAIL;
-                               goto exit;
-                       }
-
-               }
-
-               // filter packets that SA is myself or multicast or broadcast
-               if (_rtw_memcmp(myhwaddr, pattrib->src, ETH_ALEN)){
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" SA==myself\n"));
+               /*  filter packets that SA is myself or multicast or broadcast */
+               if (!memcmp(myhwaddr, pattrib->src, ETH_ALEN)) {
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" SA==myself \n"));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s SA="MAC_FMT", myhwaddr="MAC_FMT"\n",
+                       DBG_8723A("DBG_RX_DROP_FRAME %s SA="MAC_FMT", myhwaddr="MAC_FMT"\n",
                                __FUNCTION__, MAC_ARG(pattrib->src), MAC_ARG(myhwaddr));
                        #endif
                        ret= _FAIL;
                        goto exit;
                }
 
-               // da should be for me
-               if ((!_rtw_memcmp(myhwaddr, pattrib->dst, ETH_ALEN))&& (!bmcast))
+               /*  da should be for me */
+               if (memcmp(myhwaddr, pattrib->dst, ETH_ALEN) && (!bmcast))
                {
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,(" ap2sta_data_frame:  compare DA fail; DA= %x:%x:%x:%x:%x:%x\n",
-                                       pattrib->dst[0],
-                                       pattrib->dst[1],
-                                       pattrib->dst[2],
-                                       pattrib->dst[3],
-                                       pattrib->dst[4],
-                                       pattrib->dst[5]));
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,
+                               (" ap2sta_data_frame:  compare DA fail; DA="MAC_FMT"\n", MAC_ARG(pattrib->dst)));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s DA="MAC_FMT"\n", __FUNCTION__,
-                                       MAC_ARG(pattrib->dst));
+                       DBG_8723A("DBG_RX_DROP_FRAME %s DA="MAC_FMT"\n", __func__, MAC_ARG(pattrib->dst));
                        #endif
-
                        ret= _FAIL;
                        goto exit;
                }
 
-
-               // check BSSID
-               if ( _rtw_memcmp(pattrib->bssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
-                    _rtw_memcmp(mybssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
-                    (!_rtw_memcmp(pattrib->bssid, mybssid, ETH_ALEN)) )
-               {
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,(" ap2sta_data_frame:  compare BSSID fail ; BSSID=%x:%x:%x:%x:%x:%x\n",
-                               pattrib->bssid[0],
-                               pattrib->bssid[1],
-                               pattrib->bssid[2],
-                               pattrib->bssid[3],
-                               pattrib->bssid[4],
-                               pattrib->bssid[5]));
-
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("mybssid= %x:%x:%x:%x:%x:%x\n",
-                               mybssid[0],
-                               mybssid[1],
-                               mybssid[2],
-                               mybssid[3],
-                               mybssid[4],
-                               mybssid[5]));
-
+               /*  check BSSID */
+               if (!memcmp(pattrib->bssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
+                   !memcmp(mybssid, "\x0\x0\x0\x0\x0\x0", ETH_ALEN) ||
+                   memcmp(pattrib->bssid, mybssid, ETH_ALEN)) {
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,
+                               (" ap2sta_data_frame:  compare BSSID fail ; BSSID="MAC_FMT"\n", MAC_ARG(pattrib->bssid)));
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("mybssid="MAC_FMT"\n", MAC_ARG(mybssid)));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s BSSID="MAC_FMT", mybssid="MAC_FMT"\n",
+                       DBG_8723A("DBG_RX_DROP_FRAME %s BSSID="MAC_FMT", mybssid="MAC_FMT"\n",
                                __FUNCTION__, MAC_ARG(pattrib->bssid), MAC_ARG(mybssid));
+                       DBG_8723A( "this adapter = %d, buddy adapter = %d\n", adapter->adapter_type, adapter->pbuddy_adapter->adapter_type );
                        #endif
 
+                       if(!bmcast)
+                       {
+                               DBG_8723A("issue_deauth to the nonassociated ap=" MAC_FMT " for the reason(7)\n", MAC_ARG(pattrib->bssid));
+                               issue_deauth(adapter, pattrib->bssid, WLAN_REASON_CLASS3_FRAME_FROM_NONASSOC_STA);
+                       }
+
                        ret= _FAIL;
                        goto exit;
                }
 
-               if (bmcast)
+               if(bmcast)
                        *psta = rtw_get_bcmc_stainfo(adapter);
                else
-                       *psta = rtw_get_stainfo(pstapriv, pattrib->bssid); // get ap_info
+                       *psta = rtw_get_stainfo(pstapriv, pattrib->bssid); /*  get ap_info */
 
                if (*psta == NULL) {
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("ap2sta: can't get psta under STATION_MODE ; drop pkt\n"));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s can't get psta under STATION_MODE ; drop pkt\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s can't get psta under STATION_MODE ; drop pkt\n", __FUNCTION__);
                        #endif
                        ret= _FAIL;
                        goto exit;
                }
 
+               /* if ((GetFrameSubType(ptr) & WIFI_QOS_DATA_TYPE) == WIFI_QOS_DATA_TYPE) { */
+               /*  */
+
+               if (GetFrameSubType(ptr) & BIT(6)) {
+                       /* No data, will not indicate to upper layer, temporily count it here */
+                       count_rx_stats(adapter, precv_frame, *psta);
+                       ret = RTW_RX_HANDLED;
+                       goto exit;
+               }
+
        }
-       else if ((check_fwstate(pmlmepriv, WIFI_MP_STATE) == true) &&
-                    (check_fwstate(pmlmepriv, _FW_LINKED) == true) )
+       else if ((check_fwstate(pmlmepriv, WIFI_MP_STATE) == _TRUE) &&
+                    (check_fwstate(pmlmepriv, _FW_LINKED) == _TRUE) )
        {
                memcpy(pattrib->dst, GetAddr1Ptr(ptr), ETH_ALEN);
                memcpy(pattrib->src, GetAddr2Ptr(ptr), ETH_ALEN);
@@ -1412,27 +1311,42 @@ _func_enter_;
                memcpy(pattrib->ra, pattrib->dst, ETH_ALEN);
                memcpy(pattrib->ta, pattrib->src, ETH_ALEN);
 
-               //
+               /*  */
                memcpy(pattrib->bssid,  mybssid, ETH_ALEN);
 
-
-               *psta = rtw_get_stainfo(pstapriv, pattrib->bssid); // get sta_info
+               *psta = rtw_get_stainfo(pstapriv, pattrib->bssid); /*  get sta_info */
                if (*psta == NULL) {
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("can't get psta under MP_MODE ; drop pkt\n"));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s can't get psta under WIFI_MP_STATE ; drop pkt\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s can't get psta under WIFI_MP_STATE ; drop pkt\n", __FUNCTION__);
                        #endif
                        ret= _FAIL;
                        goto exit;
                }
 
-
+       }
+       else if (check_fwstate(pmlmepriv, WIFI_AP_STATE) == _TRUE)
+       {
+               /* Special case */
+               ret = RTW_RX_HANDLED;
+               goto exit;
        }
        else
        {
+               if (!memcmp(myhwaddr, pattrib->dst, ETH_ALEN) && (!bmcast))
+               {
+                       *psta = rtw_get_stainfo(pstapriv, pattrib->bssid); /*  get sta_info */
+                       if (*psta == NULL)
+                       {
+                               DBG_8723A("issue_deauth to the ap=" MAC_FMT " for the reason(7)\n", MAC_ARG(pattrib->bssid));
+
+                               issue_deauth(adapter, pattrib->bssid, WLAN_REASON_CLASS3_FRAME_FROM_NONASSOC_STA);
+                       }
+               }
+
                ret = _FAIL;
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s fw_state:0x%x\n", __FUNCTION__, get_fwstate(pmlmepriv));
+               DBG_8723A("DBG_RX_DROP_FRAME %s fw_state:0x%x\n", __FUNCTION__, get_fwstate(pmlmepriv));
                #endif
        }
 
@@ -1441,14 +1355,13 @@ exit:
 _func_exit_;
 
        return ret;
-
 }
 
-sint sta2ap_data_frame(
+int sta2ap_data_frame(
        _adapter *adapter,
        union recv_frame *precv_frame,
        struct sta_info**psta );
-sint sta2ap_data_frame(
+int sta2ap_data_frame(
        _adapter *adapter,
        union recv_frame *precv_frame,
        struct sta_info**psta )
@@ -1458,77 +1371,54 @@ sint sta2ap_data_frame(
        struct  sta_priv                *pstapriv = &adapter->stapriv;
        struct  mlme_priv       *pmlmepriv = &adapter->mlmepriv;
        unsigned char *mybssid  = get_bssid(pmlmepriv);
-       sint ret=_SUCCESS;
+       int ret=_SUCCESS;
 
 _func_enter_;
 
-       if (check_fwstate(pmlmepriv, WIFI_AP_STATE) == true)
+       if (check_fwstate(pmlmepriv, WIFI_AP_STATE) == _TRUE)
        {
-                       //For AP mode, RA=BSSID, TX=STA(SRC_ADDR), A3=DST_ADDR
-                       if (!_rtw_memcmp(pattrib->bssid, mybssid, ETH_ALEN))
-                       {
-                                       ret= _FAIL;
-                                       goto exit;
-                               }
-
-                       *psta = rtw_get_stainfo(pstapriv, pattrib->src);
-
-                       if (*psta == NULL)
-                       {
-                               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("can't get psta under AP_MODE; drop pkt\n"));
-                               ret= _FAIL;
-                               goto exit;
-                       }
-
-
-                       process_pwrbit_data(adapter, precv_frame);
-
-
-                       // if NULL-frame, drop packet
-                       if ((GetFrameSubType(ptr)) == WIFI_DATA_NULL)
-                       {
-                               RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,(" NULL frame\n"));
-
-                               //temporily count it here
-                               count_rx_stats(adapter, precv_frame, *psta);
-
-                               //process_null_data(adapter, precv_frame);
-                               //process_pwrbit_data(adapter, precv_frame);
-
-
-                               ret= _FAIL;
-                               goto exit;
-                       }
-
-                       //drop QoS-SubType Data, including QoS NULL, excluding QoS-Data
-                       if ( (GetFrameSubType(ptr) & WIFI_QOS_DATA_TYPE )== WIFI_QOS_DATA_TYPE)
-                       {
-
-                               if (GetFrameSubType(ptr)==WIFI_QOS_DATA_NULL)
-                               {
-                                       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,(" QoS NULL frame\n"));
+               /* For AP mode, RA=BSSID, TX=STA(SRC_ADDR), A3=DST_ADDR */
+               if(memcmp(pattrib->bssid, mybssid, ETH_ALEN))
+               {
+                       ret= _FAIL;
+                       goto exit;
+               }
 
-                                       //temporily count it here
-                                       count_rx_stats(adapter, precv_frame, *psta);
+               *psta = rtw_get_stainfo(pstapriv, pattrib->src);
+               if (*psta == NULL)
+               {
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("can't get psta under AP_MODE; drop pkt\n"));
+                       DBG_8723A("issue_deauth to sta=" MAC_FMT " for the reason(7)\n", MAC_ARG(pattrib->src));
 
-                                       //process_null_data(adapter, precv_frame);
+                       issue_deauth(adapter, pattrib->src, WLAN_REASON_CLASS3_FRAME_FROM_NONASSOC_STA);
 
-                                       ret= _FAIL;
-                                       goto exit;
-                               }
+                       ret = RTW_RX_HANDLED;
+                       goto exit;
+               }
 
-                               process_wmmps_data(adapter, precv_frame);
+               process_pwrbit_data(adapter, precv_frame);
 
-                       /*
-                               if (GetFrameSubType(ptr)&(BIT(4)|BIT(5)|BIT(6)))
-                               {
-                                       process_null_data(adapter, precv_frame);
-                                       ret= _FAIL;
-                                       goto exit;
-                               }
-                       */
-                       }
+               if ((GetFrameSubType(ptr) & WIFI_QOS_DATA_TYPE) == WIFI_QOS_DATA_TYPE) {
+                       process_wmmps_data(adapter, precv_frame);
+               }
 
+               if (GetFrameSubType(ptr) & BIT(6)) {
+                       /* No data, will not indicate to upper layer, temporily count it here */
+                       count_rx_stats(adapter, precv_frame, *psta);
+                       ret = RTW_RX_HANDLED;
+                       goto exit;
+               }
+       }
+       else {
+               u8 *myhwaddr = myid(&adapter->eeprompriv);
+               if (memcmp(pattrib->ra, myhwaddr, ETH_ALEN)) {
+                       ret = RTW_RX_HANDLED;
+                       goto exit;
+               }
+               DBG_8723A("issue_deauth to sta=" MAC_FMT " for the reason(7)\n", MAC_ARG(pattrib->src));
+               issue_deauth(adapter, pattrib->src, WLAN_REASON_CLASS3_FRAME_FROM_NONASSOC_STA);
+               ret = RTW_RX_HANDLED;
+               goto exit;
        }
 
 exit:
@@ -1536,33 +1426,32 @@ exit:
 _func_exit_;
 
        return ret;
-
 }
 
-sint validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame);
-sint validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame)
+int validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame);
+int validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame)
 {
 #ifdef CONFIG_AP_MODE
        struct rx_pkt_attrib *pattrib = &precv_frame->u.hdr.attrib;
        struct sta_priv *pstapriv = &padapter->stapriv;
        u8 *pframe = precv_frame->u.hdr.rx_data;
-       //uint len = precv_frame->u.hdr.len;
+       /* uint len = precv_frame->u.hdr.len; */
 
-       //DBG_871X("+validate_recv_ctrl_frame\n");
+       /* DBG_8723A("+validate_recv_ctrl_frame\n"); */
 
        if (GetFrameType(pframe) != WIFI_CTRL_TYPE)
        {
                return _FAIL;
        }
 
-       //receive the frames that ra(a1) is my address
-       if (!_rtw_memcmp(GetAddr1Ptr(pframe), myid(&padapter->eeprompriv), ETH_ALEN))
+       /* receive the frames that ra(a1) is my address */
+       if (memcmp(GetAddr1Ptr(pframe), myid(&padapter->eeprompriv), ETH_ALEN))
        {
                return _FAIL;
        }
 
-       //only handle ps-poll
-       if (GetFrameSubType(pframe) == WIFI_PSPOLL)
+       /* only handle ps-poll */
+       if(GetFrameSubType(pframe) == WIFI_PSPOLL)
        {
                u16 aid;
                u8 wmmps_ac=0;
@@ -1571,15 +1460,15 @@ sint validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame)
                aid = GetAid(pframe);
                psta = rtw_get_stainfo(pstapriv, GetAddr2Ptr(pframe));
 
-               if ((psta==NULL) || (psta->aid!=aid))
+               if((psta==NULL) || (psta->aid!=aid))
                {
                        return _FAIL;
                }
 
-               //for rx pkt statistics
+               /* for rx pkt statistics */
                psta->sta_stats.rx_ctrl_pkts++;
 
-               switch (pattrib->priority)
+               switch(pattrib->priority)
                {
                        case 1:
                        case 2:
@@ -1600,21 +1489,30 @@ sint validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame)
                                break;
                }
 
-               if (wmmps_ac)
+               if(wmmps_ac)
                        return _FAIL;
 
-               if ((psta->state&WIFI_SLEEP_STATE) && (pstapriv->sta_dz_bitmap&BIT(psta->aid)))
+               if(psta->state & WIFI_STA_ALIVE_CHK_STATE)
                {
-                       unsigned long irqL;
+                       DBG_8723A("%s alive check-rx ps-poll\n", __func__);
+                       psta->expire_to = pstapriv->expire_to;
+                       psta->state ^= WIFI_STA_ALIVE_CHK_STATE;
+               }
+
+               if((psta->state&WIFI_SLEEP_STATE) && (pstapriv->sta_dz_bitmap&BIT(psta->aid)))
+               {
+                       _irqL irqL;
                        _list   *xmitframe_plist, *xmitframe_phead;
                        struct xmit_frame *pxmitframe=NULL;
+                       struct xmit_priv *pxmitpriv = &padapter->xmitpriv;
 
-                       _enter_critical_bh(&psta->sleep_q.lock, &irqL);
+                       /* spin_lock_bh(&psta->sleep_q.lock); */
+                       spin_lock_bh(&pxmitpriv->lock);
 
                        xmitframe_phead = get_list_head(&psta->sleep_q);
                        xmitframe_plist = get_next(xmitframe_phead);
 
-                       if ((rtw_end_of_queue_search(xmitframe_phead, xmitframe_plist)) == false)
+                       if ((rtw_end_of_queue_search(xmitframe_phead, xmitframe_plist)) == _FALSE)
                        {
                                pxmitframe = LIST_CONTAINOR(xmitframe_plist, struct xmit_frame, list);
 
@@ -1624,60 +1522,62 @@ sint validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame)
 
                                psta->sleepq_len--;
 
-                               if (psta->sleepq_len>0)
+                               if(psta->sleepq_len>0)
                                        pxmitframe->attrib.mdata = 1;
                                 else
                                        pxmitframe->attrib.mdata = 0;
 
                                pxmitframe->attrib.triggered = 1;
 
-                               //DBG_871X("handling ps-poll, q_len=%d, tim=%x\n", psta->sleepq_len, pstapriv->tim_bitmap);
+                               /* DBG_8723A("handling ps-poll, q_len=%d, tim=%x\n", psta->sleepq_len, pstapriv->tim_bitmap); */
 
-                                _exit_critical_bh(&psta->sleep_q.lock, &irqL);
-                               if (rtw_hal_xmit(padapter, pxmitframe) == true)
-                               {
-                                       rtw_os_xmit_complete(padapter, pxmitframe);
-                               }
-                                _enter_critical_bh(&psta->sleep_q.lock, &irqL);
+                               rtw_hal_xmitframe_enqueue(padapter, pxmitframe);
 
-                               if (psta->sleepq_len==0)
+                               if(psta->sleepq_len==0)
                                {
                                        pstapriv->tim_bitmap &= ~BIT(psta->aid);
 
-                                       //DBG_871X("after handling ps-poll, tim=%x\n", pstapriv->tim_bitmap);
+                                       /* DBG_8723A("after handling ps-poll, tim=%x\n", pstapriv->tim_bitmap); */
 
-                                       //upate BCN for TIM IE
-                                       //update_BCNTIM(padapter);
-                                       update_beacon(padapter, _TIM_IE_, NULL, false);
+                                       /* upate BCN for TIM IE */
+                                       /* update_BCNTIM(padapter); */
+                                       update_beacon(padapter, _TIM_IE_, NULL, _FALSE);
                                }
 
+                               /* spin_unlock_bh(&psta->sleep_q.lock); */
+                               spin_unlock_bh(&pxmitpriv->lock);
+
                        }
                        else
                        {
-                               //DBG_871X("no buffered packets to xmit\n");
-                               if (pstapriv->tim_bitmap&BIT(psta->aid))
+                               /* spin_unlock_bh(&psta->sleep_q.lock); */
+                               spin_unlock_bh(&pxmitpriv->lock);
+
+                               /* DBG_8723A("no buffered packets to xmit\n"); */
+                               if(pstapriv->tim_bitmap&BIT(psta->aid))
                                {
-                                       if (psta->sleepq_len==0)
+                                       if(psta->sleepq_len==0)
                                        {
-                                               DBG_871X("no buffered packets to xmit\n");
+                                               DBG_8723A("no buffered packets to xmit\n");
+
+                                               /* issue nulldata with More data bit = 0 to indicate we have no buffered packets */
+                                               issue_nulldata(padapter, psta->hwaddr, 0, 0, 0);
                                        }
                                        else
                                        {
-                                               DBG_871X("error!psta->sleepq_len=%d\n", psta->sleepq_len);
+                                               DBG_8723A("error!psta->sleepq_len=%d\n", psta->sleepq_len);
                                                psta->sleepq_len=0;
                                        }
 
                                        pstapriv->tim_bitmap &= ~BIT(psta->aid);
 
-                                       //upate BCN for TIM IE
-                                       //update_BCNTIM(padapter);
-                                       update_beacon(padapter, _TIM_IE_, NULL, false);
+                                       /* upate BCN for TIM IE */
+                                       /* update_BCNTIM(padapter); */
+                                       update_beacon(padapter, _TIM_IE_, NULL, _FALSE);
                                }
 
                        }
 
-                       _exit_critical_bh(&psta->sleep_q.lock, &irqL);
-
                }
 
        }
@@ -1685,31 +1585,45 @@ sint validate_recv_ctrl_frame(_adapter *padapter, union recv_frame *precv_frame)
 #endif
 
        return _FAIL;
-
 }
 
 union recv_frame* recvframe_chk_defrag(PADAPTER padapter, union recv_frame *precv_frame);
-sint validate_recv_mgnt_frame(PADAPTER padapter, union recv_frame *precv_frame);
-sint validate_recv_mgnt_frame(PADAPTER padapter, union recv_frame *precv_frame)
+int validate_recv_mgnt_frame(PADAPTER padapter, union recv_frame *precv_frame);
+int validate_recv_mgnt_frame(PADAPTER padapter, union recv_frame *precv_frame)
 {
-       //struct mlme_priv *pmlmepriv = &adapter->mlmepriv;
+       /* struct mlme_priv *pmlmepriv = &adapter->mlmepriv; */
 
        RT_TRACE(_module_rtl871x_recv_c_, _drv_info_, ("+validate_recv_mgnt_frame\n"));
 
-       {
-               //for rx pkt statistics
-               struct sta_info *psta = rtw_get_stainfo(&padapter->stapriv, GetAddr2Ptr(precv_frame->u.hdr.rx_data));
-               if (psta)
-                       psta->sta_stats.rx_mgnt_pkts++;
-       }
-
        precv_frame = recvframe_chk_defrag(padapter, precv_frame);
        if (precv_frame == NULL) {
                RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_,("%s: fragment packet\n",__FUNCTION__));
                return _SUCCESS;
        }
+
+       {
+               /* for rx pkt statistics */
+               struct sta_info *psta = rtw_get_stainfo(&padapter->stapriv, GetAddr2Ptr(precv_frame->u.hdr.rx_data));
+               if (psta) {
+                       psta->sta_stats.rx_mgnt_pkts++;
+                       if (GetFrameSubType(precv_frame->u.hdr.rx_data) == WIFI_BEACON)
+                               psta->sta_stats.rx_beacon_pkts++;
+                       else if (GetFrameSubType(precv_frame->u.hdr.rx_data) == WIFI_PROBEREQ)
+                               psta->sta_stats.rx_probereq_pkts++;
+                       else if (GetFrameSubType(precv_frame->u.hdr.rx_data) == WIFI_PROBERSP) {
+                               if (!memcmp(padapter->eeprompriv.mac_addr, GetAddr1Ptr(precv_frame->u.hdr.rx_data), ETH_ALEN))
+                                       psta->sta_stats.rx_probersp_pkts++;
+                               else if (is_broadcast_mac_addr(GetAddr1Ptr(precv_frame->u.hdr.rx_data))
+                                       || is_multicast_mac_addr(GetAddr1Ptr(precv_frame->u.hdr.rx_data)))
+                                       psta->sta_stats.rx_probersp_bm_pkts++;
+                               else
+                                       psta->sta_stats.rx_probersp_uo_pkts++;
+                       }
+               }
+       }
+
 #ifdef CONFIG_INTEL_PROXIM
-       if (padapter->proximity.proxim_on==true)
+       if(padapter->proximity.proxim_on==_TRUE)
        {
                struct rx_pkt_attrib * pattrib=&precv_frame->u.hdr.attrib;
                 struct recv_stat* prxstat=( struct recv_stat * )  precv_frame->u.hdr.rx_head ;
@@ -1719,13 +1633,12 @@ sint validate_recv_mgnt_frame(PADAPTER padapter, union recv_frame *precv_frame)
                psa = get_sa(ptr);
                pbssid = get_hdr_bssid(ptr);
 
-
                memcpy(pattrib->dst, pda, ETH_ALEN);
                memcpy(pattrib->src, psa, ETH_ALEN);
 
                memcpy(pattrib->bssid, pbssid, ETH_ALEN);
 
-       switch (pattrib->to_fr_ds)
+       switch(pattrib->to_fr_ds)
        {
                case 0:
                        memcpy(pattrib->ra, pda, ETH_ALEN);
@@ -1761,13 +1674,11 @@ sint validate_recv_mgnt_frame(PADAPTER padapter, union recv_frame *precv_frame)
        mgt_dispatcher(padapter, precv_frame);
 
        return _SUCCESS;
-
 }
 
-sint validate_recv_data_frame(_adapter *adapter, union recv_frame *precv_frame);
-sint validate_recv_data_frame(_adapter *adapter, union recv_frame *precv_frame)
+int validate_recv_data_frame(_adapter *adapter, union recv_frame *precv_frame);
+int validate_recv_data_frame(_adapter *adapter, union recv_frame *precv_frame)
 {
-       int res;
        u8 bretry;
        u8 *psa, *pda, *pbssid;
        struct sta_info *psta = NULL;
@@ -1775,10 +1686,10 @@ sint validate_recv_data_frame(_adapter *adapter, union recv_frame *precv_frame)
        struct rx_pkt_attrib    *pattrib = & precv_frame->u.hdr.attrib;
        struct sta_priv         *pstapriv = &adapter->stapriv;
        struct security_priv    *psecuritypriv = &adapter->securitypriv;
-       sint ret = _SUCCESS;
+       int ret = _SUCCESS;
 #ifdef CONFIG_TDLS
        struct tdls_info *ptdlsinfo = &adapter->tdlsinfo;
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
 _func_enter_;
 
@@ -1787,9 +1698,9 @@ _func_enter_;
        psa = get_sa(ptr);
        pbssid = get_hdr_bssid(ptr);
 
-       if (pbssid == NULL){
+       if(pbssid == NULL){
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s pbssid == NULL\n", __func__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s pbssid == NULL\n", __func__);
                #endif
                ret= _FAIL;
                goto exit;
@@ -1800,76 +1711,74 @@ _func_enter_;
 
        memcpy(pattrib->bssid, pbssid, ETH_ALEN);
 
-       switch (pattrib->to_fr_ds)
+       switch(pattrib->to_fr_ds)
        {
                case 0:
                        memcpy(pattrib->ra, pda, ETH_ALEN);
                        memcpy(pattrib->ta, psa, ETH_ALEN);
-                       res= sta2sta_data_frame(adapter, precv_frame, &psta);
+                       re= sta2sta_data_frame(adapter, precv_frame, &psta);
                        break;
 
                case 1:
                        memcpy(pattrib->ra, pda, ETH_ALEN);
                        memcpy(pattrib->ta, pbssid, ETH_ALEN);
-                       res= ap2sta_data_frame(adapter, precv_frame, &psta);
+                       re= ap2sta_data_frame(adapter, precv_frame, &psta);
                        break;
 
                case 2:
                        memcpy(pattrib->ra, pbssid, ETH_ALEN);
                        memcpy(pattrib->ta, psa, ETH_ALEN);
-                       res= sta2ap_data_frame(adapter, precv_frame, &psta);
+                       re= sta2ap_data_frame(adapter, precv_frame, &psta);
                        break;
 
                case 3:
                        memcpy(pattrib->ra, GetAddr1Ptr(ptr), ETH_ALEN);
                        memcpy(pattrib->ta, GetAddr2Ptr(ptr), ETH_ALEN);
-                       res=_FAIL;
+                       re=_FAIL;
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" case 3\n"));
                        break;
 
                default:
-                       res=_FAIL;
+                       re=_FAIL;
                        break;
 
        }
 
-       if (res==_FAIL){
-               //RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,(" after to_fr_ds_chk; res = fail\n"));
+       if(ret ==_FAIL){
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s case:%d, res:%d\n", __FUNCTION__, pattrib->to_fr_ds, res);
+               DBG_8723A("DBG_RX_DROP_FRAME %s case:%d, res:%d\n", __FUNCTION__, pattrib->to_fr_ds, ret);
                #endif
-               ret= res;
+               goto exit;
+       } else if (ret == RTW_RX_HANDLED) {
                goto exit;
        }
 
-
-       if (psta==NULL){
-               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" after to_fr_ds_chk; psta==NULL\n"));
+       if(psta==NULL){
+               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,(" after to_fr_ds_chk; psta==NULL \n"));
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s psta == NULL\n", __func__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s psta == NULL\n", __func__);
                #endif
                ret= _FAIL;
                goto exit;
        }
 
-       //psta->rssi = prxcmd->rssi;
-       //psta->signal_quality= prxcmd->sq;
+       /* psta->rssi = prxcmd->rssi; */
+       /* psta->signal_quality= prxcmd->sq; */
        precv_frame->u.hdr.psta = psta;
 
-
        pattrib->amsdu=0;
        pattrib->ack_policy = 0;
-       //parsing QC field
-       if (pattrib->qos == 1)
+       /* parsing QC field */
+       if(pattrib->qos == 1)
        {
                pattrib->priority = GetPriority((ptr + 24));
                pattrib->ack_policy = GetAckpolicy((ptr + 24));
                pattrib->amsdu = GetAMsdu((ptr + 24));
                pattrib->hdrlen = pattrib->to_fr_ds==3 ? 32 : 26;
 
-               if (pattrib->priority!=0 && pattrib->priority!=3)
+               if(pattrib->priority!=0 && pattrib->priority!=3)
                {
-                       adapter->recvpriv.bIsAnyNonBEPkts = true;
+                       adapter->recvpriv.bIsAnyNonBEPkts = _TRUE;
                }
        }
        else
@@ -1878,37 +1787,36 @@ _func_enter_;
                pattrib->hdrlen = pattrib->to_fr_ds==3 ? 30 : 24;
        }
 
-
-       if (pattrib->order)//HT-CTRL 11n
+       if(pattrib->order)/* HT-CTRL 11n */
        {
                pattrib->hdrlen += 4;
        }
 
        precv_frame->u.hdr.preorder_ctrl = &psta->recvreorder_ctrl[pattrib->priority];
 
-       // decache, drop duplicate recv packets
-       if (recv_decache(precv_frame, bretry, &psta->sta_recvpriv.rxcache) == _FAIL)
+       /*  decache, drop duplicate recv packets */
+       if(recv_decache(precv_frame, bretry, &psta->sta_recvpriv.rxcache) == _FAIL)
        {
                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("decache : drop pkt\n"));
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s recv_decache return _FAIL\n", __func__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s recv_decache return _FAIL\n", __func__);
                #endif
                ret= _FAIL;
                goto exit;
        }
 
-       if (pattrib->privacy){
+       if(pattrib->privacy){
 
                RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("validate_recv_data_frame:pattrib->privacy=%x\n", pattrib->privacy));
                RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n ^^^^^^^^^^^IS_MCAST(pattrib->ra(0x%02x))=%d^^^^^^^^^^^^^^^6\n", pattrib->ra[0],IS_MCAST(pattrib->ra)));
 
 #ifdef CONFIG_TDLS
-               if ((psta->tdls_sta_state & TDLS_LINKED_STATE) && (psta->dot118021XPrivacy==_AES_))
+               if((psta->tdls_sta_state & TDLS_LINKED_STATE) && (psta->dot118021XPrivacy==_AES_))
                {
                        pattrib->encrypt=psta->dot118021XPrivacy;
                }
                else
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
                GET_ENCRY_ALGO(psecuritypriv, psta, pattrib->encrypt, IS_MCAST(pattrib->ra));
 
                RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n pattrib->encrypt=%d\n",pattrib->encrypt));
@@ -1928,16 +1836,16 @@ _func_exit_;
        return ret;
 }
 
-sint validate_recv_frame(_adapter *adapter, union recv_frame *precv_frame);
-sint validate_recv_frame(_adapter *adapter, union recv_frame *precv_frame)
+int validate_recv_frame(_adapter *adapter, union recv_frame *precv_frame);
+int validate_recv_frame(_adapter *adapter, union recv_frame *precv_frame)
 {
-       //shall check frame subtype, to / from ds, da, bssid
+       /* shall check frame subtype, to / from ds, da, bssid */
 
-       //then call check if rx seq/frag. duplicated.
+       /* then call check if rx seq/frag. duplicated. */
 
        u8 type;
        u8 subtype;
-       sint retval = _SUCCESS;
+       int retval = _SUCCESS;
 
        struct rx_pkt_attrib *pattrib = & precv_frame->u.hdr.attrib;
 
@@ -1949,7 +1857,7 @@ sint validate_recv_frame(_adapter *adapter, union recv_frame *precv_frame)
 
 #ifdef CONFIG_TDLS
        struct tdls_info *ptdlsinfo = &adapter->tdlsinfo;
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 #ifdef CONFIG_WAPI_SUPPORT
        PRT_WAPI_T      pWapiInfo = &adapter->wapiInfo;
        struct recv_frame_hdr *phdr = &precv_frame->u.hdr;
@@ -1960,28 +1868,29 @@ sint validate_recv_frame(_adapter *adapter, union recv_frame *precv_frame)
 
 _func_enter_;
 
-
 #ifdef CONFIG_FIND_BEST_CHANNEL
        if (pmlmeext->sitesurvey_res.state == SCAN_PROCESS) {
-               pmlmeext->channel_set[pmlmeext->sitesurvey_res.channel_idx].rx_count++;
+               int ch_set_idx = rtw_ch_set_search_ch(pmlmeext->channel_set, rtw_get_oper_ch(adapter));
+               if (ch_set_idx >= 0)
+                       pmlmeext->channel_set[ch_set_idx].rx_count++;
        }
 #endif
 
 #ifdef CONFIG_TDLS
-       if (ptdlsinfo->ch_sensing==1 && ptdlsinfo->cur_channel !=0){
+       if(ptdlsinfo->ch_sensing==1 && ptdlsinfo->cur_channel !=0){
                ptdlsinfo->collect_pkt_num[ptdlsinfo->cur_channel-1]++;
        }
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
-       //add version chk
-       if (ver!=0){
+       /* add version chk */
+       if(ver!=0){
                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("validate_recv_data_frame fail! (ver!=0)\n"));
                retval= _FAIL;
                goto exit;
        }
 
        type =  GetFrameType(ptr);
-       subtype = GetFrameSubType(ptr); //bit(7)~bit(2)
+       subtype = GetFrameSubType(ptr); /* bit(7)~bit(2) */
 
        pattrib->to_fr_ds = get_tofr_ds(ptr);
 
@@ -1997,64 +1906,64 @@ _func_enter_;
        sc = (pattrib->seq_num<<4) | pattrib->frag_num;
 #endif
 
-#if 1 //Dump rx packets
+#if 1 /* Dump rx packets */
 {
        u8 bDumpRxPkt;
        rtw_hal_get_def_var(adapter, HAL_DEF_DBG_DUMP_RXPKT, &(bDumpRxPkt));
-       if (bDumpRxPkt ==1){//dump all rx packets
+       if(bDumpRxPkt ==1){/* dump all rx packets */
                int i;
-               DBG_871X("#############################\n");
+               DBG_8723A("############################# \n");
 
-               for (i=0; i<64;i=i+8)
-                       DBG_871X("%02X:%02X:%02X:%02X:%02X:%02X:%02X:%02X:\n", *(ptr+i),
+               for(i=0; i<64;i=i+8)
+                       DBG_8723A("%02X:%02X:%02X:%02X:%02X:%02X:%02X:%02X:\n", *(ptr+i),
                        *(ptr+i+1), *(ptr+i+2) ,*(ptr+i+3) ,*(ptr+i+4),*(ptr+i+5), *(ptr+i+6), *(ptr+i+7));
-               DBG_871X("#############################\n");
+               DBG_8723A("############################# \n");
        }
-       else if (bDumpRxPkt ==2){
-               if (type== WIFI_MGT_TYPE){
+       else if(bDumpRxPkt ==2){
+               if(type== WIFI_MGT_TYPE){
                        int i;
-                       DBG_871X("#############################\n");
+                       DBG_8723A("############################# \n");
 
-                       for (i=0; i<64;i=i+8)
-                               DBG_871X("%02X:%02X:%02X:%02X:%02X:%02X:%02X:%02X:\n", *(ptr+i),
+                       for(i=0; i<64;i=i+8)
+                               DBG_8723A("%02X:%02X:%02X:%02X:%02X:%02X:%02X:%02X:\n", *(ptr+i),
                                *(ptr+i+1), *(ptr+i+2) ,*(ptr+i+3) ,*(ptr+i+4),*(ptr+i+5), *(ptr+i+6), *(ptr+i+7));
-                       DBG_871X("#############################\n");
+                       DBG_8723A("############################# \n");
                }
        }
-       else if (bDumpRxPkt ==3){
-               if (type== WIFI_DATA_TYPE){
+       else if(bDumpRxPkt ==3){
+               if(type== WIFI_DATA_TYPE){
                        int i;
-                       DBG_871X("#############################\n");
+                       DBG_8723A("############################# \n");
 
-                       for (i=0; i<64;i=i+8)
-                               DBG_871X("%02X:%02X:%02X:%02X:%02X:%02X:%02X:%02X:\n", *(ptr+i),
+                       for(i=0; i<64;i=i+8)
+                               DBG_8723A("%02X:%02X:%02X:%02X:%02X:%02X:%02X:%02X:\n", *(ptr+i),
                                *(ptr+i+1), *(ptr+i+2) ,*(ptr+i+3) ,*(ptr+i+4),*(ptr+i+5), *(ptr+i+6), *(ptr+i+7));
-                       DBG_871X("#############################\n");
+                       DBG_8723A("############################# \n");
                }
        }
 }
 #endif
        switch (type)
        {
-               case WIFI_MGT_TYPE: //mgnt
+               case WIFI_MGT_TYPE: /* mgnt */
                        retval = validate_recv_mgnt_frame(adapter, precv_frame);
                        if (retval == _FAIL)
                        {
                                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("validate_recv_mgnt_frame fail\n"));
                        }
-                       retval = _FAIL; // only data frame return _SUCCESS
+                       retval = _FAIL; /*  only data frame return _SUCCESS */
                        break;
-               case WIFI_CTRL_TYPE: //ctrl
+               case WIFI_CTRL_TYPE: /* ctrl */
                        retval = validate_recv_ctrl_frame(adapter, precv_frame);
                        if (retval == _FAIL)
                        {
                                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("validate_recv_ctrl_frame fail\n"));
                        }
-                       retval = _FAIL; // only data frame return _SUCCESS
+                       retval = _FAIL; /*  only data frame return _SUCCESS */
                        break;
-               case WIFI_DATA_TYPE: //data
+               case WIFI_DATA_TYPE: /* data */
 #ifdef CONFIG_WAPI_SUPPORT
-                       if (pattrib->qos)
+                       if(pattrib->qos)
                                external_len = 2;
                        else
                                external_len= 0;
@@ -2063,8 +1972,8 @@ _func_enter_;
 
                        phdr->bIsWaiPacket = wai_pkt;
 
-                       if (wai_pkt !=0){
-                               if (sc != adapter->wapiInfo.wapiSeqnumAndFragNum)
+                       if(wai_pkt !=0){
+                               if(sc != adapter->wapiInfo.wapiSeqnumAndFragNum)
                                {
                                        adapter->wapiInfo.wapiSeqnumAndFragNum = sc;
                                }
@@ -2076,9 +1985,9 @@ _func_enter_;
                        }
                        else{
 
-                                       if (rtw_wapi_drop_for_key_absent(adapter,GetAddr2Ptr(ptr))){
+                                       if(rtw_wapi_drop_for_key_absent(adapter,GetAddr2Ptr(ptr))){
                                                retval=_FAIL;
-                                               WAPI_TRACE(WAPI_RX,"drop for key absent for rx\n");
+                                               WAPI_TRACE(WAPI_RX,"drop for key absent for rx \n");
                                                break;
                                        }
                        }
@@ -2091,14 +2000,14 @@ _func_enter_;
                        if (retval == _FAIL)
                        {
                                struct recv_priv *precvpriv = &adapter->recvpriv;
-                               //RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("validate_recv_data_frame fail\n"));
+                               /* RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("validate_recv_data_frame fail\n")); */
                                precvpriv->rx_drop++;
                        }
                        break;
                default:
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("validate_recv_data_frame fail! type=0x%x\n", type));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME validate_recv_data_frame fail! type=0x%x\n", type);
+                       DBG_8723A("DBG_RX_DROP_FRAME validate_recv_data_frame fail! type=0x%x\n", type);
                        #endif
                        retval = _FAIL;
                        break;
@@ -2111,47 +2020,44 @@ _func_exit_;
        return retval;
 }
 
+/* remove the wlanhdr and add the eth_hdr */
 
-//remove the wlanhdr and add the eth_hdr
-#if 1
-
-sint wlanhdr_to_ethhdr ( union recv_frame *precvframe);
-sint wlanhdr_to_ethhdr ( union recv_frame *precvframe)
+int wlanhdr_to_ethhdr ( union recv_frame *precvframe)
 {
-       sint    rmv_len;
+       int     rmv_len;
        u16     eth_type, len;
        u8      bsnaphdr;
        u8      *psnap_type;
        struct ieee80211_snap_hdr       *psnap;
 
-       sint ret=_SUCCESS;
+       int ret=_SUCCESS;
        _adapter                        *adapter =precvframe->u.hdr.adapter;
        struct mlme_priv        *pmlmepriv = &adapter->mlmepriv;
 
-       u8      *ptr = get_recvframe_data(precvframe) ; // point to frame_ctrl field
+       u8      *ptr = get_recvframe_data(precvframe) ; /*  point to frame_ctrl field */
        struct rx_pkt_attrib *pattrib = & precvframe->u.hdr.attrib;
 
 _func_enter_;
 
-       if (pattrib->encrypt){
+       if(pattrib->encrypt){
                recvframe_pull_tail(precvframe, pattrib->icv_len);
        }
 
        psnap=(struct ieee80211_snap_hdr        *)(ptr+pattrib->hdrlen + pattrib->iv_len);
        psnap_type=ptr+pattrib->hdrlen + pattrib->iv_len+SNAP_SIZE;
        /* convert hdr + possible LLC headers into Ethernet header */
-       //eth_type = (psnap_type[0] << 8) | psnap_type[1];
-       if ((_rtw_memcmp(psnap, rtw_rfc1042_header, SNAP_SIZE) &&
-               (_rtw_memcmp(psnap_type, SNAP_ETH_TYPE_IPX, 2) == false) &&
-               (_rtw_memcmp(psnap_type, SNAP_ETH_TYPE_APPLETALK_AARP, 2)==false) )||
-               //eth_type != ETH_P_AARP && eth_type != ETH_P_IPX) ||
-                _rtw_memcmp(psnap, rtw_bridge_tunnel_header, SNAP_SIZE)){
+       /* eth_type = (psnap_type[0] << 8) | psnap_type[1]; */
+       if ((!memcmp(psnap, rtw_rfc1042_header, SNAP_SIZE) &&
+            memcmp(psnap_type, SNAP_ETH_TYPE_IPX, 2) &&
+            memcmp(psnap_type, SNAP_ETH_TYPE_APPLETALK_AARP, 2)) ||
+            /* eth_type != ETH_P_AARP && eth_type != ETH_P_IPX) || */
+           !memcmp(psnap, rtw_bridge_tunnel_header, SNAP_SIZE)) {
                /* remove RFC1042 or Bridge-Tunnel encapsulation and replace EtherType */
-               bsnaphdr = true;
+               bsnaphdr = _TRUE;
        }
        else {
                /* Leave Ethernet header part of hdr and full payload */
-               bsnaphdr = false;
+               bsnaphdr = _FALSE;
        }
 
        rmv_len = pattrib->hdrlen + pattrib->iv_len +(bsnaphdr?SNAP_SIZE:0);
@@ -2160,17 +2066,17 @@ _func_enter_;
        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("\n===pattrib->hdrlen: %x,  pattrib->iv_len:%x ===\n\n", pattrib->hdrlen,  pattrib->iv_len));
 
        memcpy(&eth_type, ptr+rmv_len, 2);
-       eth_type= ntohs((unsigned short )eth_type); //pattrib->ether_type
+       eth_type= ntohs((unsigned short )eth_type); /* pattrib->ether_type */
        pattrib->eth_type = eth_type;
 
-       if ((check_fwstate(pmlmepriv, WIFI_MP_STATE) == true))
+       if ((check_fwstate(pmlmepriv, WIFI_MP_STATE) == _TRUE))
        {
                ptr += rmv_len ;
                *ptr = 0x87;
                *(ptr+1) = 0x12;
 
                eth_type = 0x8712;
-               // append rx status for mp test packets
+               /*  append rx status for mp test packets */
                ptr = recvframe_pull(precvframe, (rmv_len-sizeof(struct ethhdr)+2)-24);
                memcpy(ptr, get_rxmem(precvframe), 24);
                ptr+=24;
@@ -2182,126 +2088,16 @@ _func_enter_;
        memcpy(ptr, pattrib->dst, ETH_ALEN);
        memcpy(ptr+ETH_ALEN, pattrib->src, ETH_ALEN);
 
-       if (!bsnaphdr) {
+       if(!bsnaphdr) {
                len = htons(len);
                memcpy(ptr+12, &len, 2);
        }
 
 _func_exit_;
        return ret;
-
-}
-
-#else
-
-sint wlanhdr_to_ethhdr ( union recv_frame *precvframe)
-{
-       sint rmv_len;
-       u16 eth_type;
-       u8      bsnaphdr;
-       u8      *psnap_type;
-       struct ieee80211_snap_hdr       *psnap;
-
-       sint ret=_SUCCESS;
-       _adapter        *adapter =precvframe->u.hdr.adapter;
-       struct  mlme_priv       *pmlmepriv = &adapter->mlmepriv;
-
-       u8* ptr = get_recvframe_data(precvframe) ; // point to frame_ctrl field
-       struct rx_pkt_attrib *pattrib = & precvframe->u.hdr.attrib;
-       struct _vlan *pvlan = NULL;
-
-_func_enter_;
-
-       psnap=(struct ieee80211_snap_hdr        *)(ptr+pattrib->hdrlen + pattrib->iv_len);
-       psnap_type=ptr+pattrib->hdrlen + pattrib->iv_len+SNAP_SIZE;
-       if (psnap->dsap==0xaa && psnap->ssap==0xaa && psnap->ctrl==0x03)
-       {
-               if (_rtw_memcmp(psnap->oui, oui_rfc1042, WLAN_IEEE_OUI_LEN))
-                       bsnaphdr=true;//wlan_pkt_format = WLAN_PKT_FORMAT_SNAP_RFC1042;
-               else if (_rtw_memcmp(psnap->oui, SNAP_HDR_APPLETALK_DDP, WLAN_IEEE_OUI_LEN) &&
-                       _rtw_memcmp(psnap_type, SNAP_ETH_TYPE_APPLETALK_DDP, 2) )
-                       bsnaphdr=true;  //wlan_pkt_format = WLAN_PKT_FORMAT_APPLETALK;
-               else if (_rtw_memcmp( psnap->oui, oui_8021h, WLAN_IEEE_OUI_LEN))
-                       bsnaphdr=true;  //wlan_pkt_format = WLAN_PKT_FORMAT_SNAP_TUNNEL;
-               else {
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("drop pkt due to invalid frame format!\n"));
-                       ret= _FAIL;
-                       goto exit;
-               }
-
-       } else
-               bsnaphdr=false;//wlan_pkt_format = WLAN_PKT_FORMAT_OTHERS;
-
-       rmv_len = pattrib->hdrlen + pattrib->iv_len +(bsnaphdr?SNAP_SIZE:0);
-       RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("===pattrib->hdrlen: %x,  pattrib->iv_len:%x ===\n", pattrib->hdrlen,  pattrib->iv_len));
-
-       if (check_fwstate(pmlmepriv, WIFI_MP_STATE) == true)
-       {
-               ptr += rmv_len ;
-               *ptr = 0x87;
-               *(ptr+1) = 0x12;
-
-               //back to original pointer
-               ptr -= rmv_len;
-       }
-
-       ptr += rmv_len ;
-
-       memcpy(&eth_type, ptr, 2);
-       eth_type= ntohs((unsigned short )eth_type); //pattrib->ether_type
-       ptr +=2;
-
-       if (pattrib->encrypt){
-               recvframe_pull_tail(precvframe, pattrib->icv_len);
-       }
-
-       if (eth_type == 0x8100) //vlan
-       {
-               pvlan = (struct _vlan *) ptr;
-
-               //eth_type = get_vlan_encap_proto(pvlan);
-               //eth_type = pvlan->h_vlan_encapsulated_proto;//?
-               rmv_len += 4;
-               ptr+=4;
-       }
-
-       if (eth_type==0x0800)//ip
-       {
-               //struct iphdr*  piphdr = (struct iphdr*) ptr;
-               //__u8 tos = (unsigned char)(pattrib->priority & 0xff);
-
-               //piphdr->tos = tos;
-
-               //if (piphdr->protocol == 0x06)
-               //{
-               //      RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("@@@===recv tcp len:%d @@@===\n", precvframe->u.hdr.len));
-               //}
-       }
-
-       if (eth_type==0x8712)// append rx status for mp test packets
-       {
-               ptr = recvframe_pull(precvframe, (rmv_len-sizeof(struct ethhdr)+2)-24);
-               memcpy(ptr, get_rxmem(precvframe), 24);
-               ptr+=24;
-       }
-       else
-               ptr = recvframe_pull(precvframe, (rmv_len-sizeof(struct ethhdr)+2));
-
-       memcpy(ptr, pattrib->dst, ETH_ALEN);
-       memcpy(ptr+ETH_ALEN, pattrib->src, ETH_ALEN);
-
-       eth_type = htons((unsigned short)eth_type) ;
-       memcpy(ptr+12, &eth_type, 2);
-
-exit:
-
-_func_exit_;
-
-       return ret;
 }
-#endif
 
-//perform defrag
+/* perform defrag */
 union recv_frame * recvframe_defrag(_adapter *adapter,_queue *defrag_q);
 union recv_frame * recvframe_defrag(_adapter *adapter,_queue *defrag_q)
 {
@@ -2323,10 +2119,10 @@ _func_enter_;
        pfhdr=&prframe->u.hdr;
        rtw_list_delete(&(prframe->u.list));
 
-       if (curfragnum!=pfhdr->attrib.frag_num)
+       if(curfragnum!=pfhdr->attrib.frag_num)
        {
-               //the first fragment number must be 0
-               //free the whole queue
+               /* the first fragment number must be 0 */
+               /* free the whole queue */
                rtw_free_recvframe(prframe, pfree_recv_queue);
                rtw_free_recvframe_queue(defrag_q, pfree_recv_queue);
 
@@ -2341,18 +2137,17 @@ _func_enter_;
 
        data=get_recvframe_data(prframe);
 
-       while (rtw_end_of_queue_search(phead, plist) == false)
+       while(rtw_end_of_queue_search(phead, plist) == _FALSE)
        {
                pnextrframe = LIST_CONTAINOR(plist, union recv_frame , u);
                pnfhdr=&pnextrframe->u.hdr;
 
+               /* check the fragment sequence  (2nd ~n fragment frame) */
 
-               //check the fragment sequence  (2nd ~n fragment frame)
-
-               if (curfragnum!=pnfhdr->attrib.frag_num)
+               if(curfragnum!=pnfhdr->attrib.frag_num)
                {
-                       //the fragment number must be increasing  (after decache)
-                       //release the defrag_q & prframe
+                       /* the fragment number must be increasing  (after decache) */
+                       /* release the defrag_q & prframe */
                        rtw_free_recvframe(prframe, pfree_recv_queue);
                        rtw_free_recvframe_queue(defrag_q, pfree_recv_queue);
                        return NULL;
@@ -2360,17 +2155,17 @@ _func_enter_;
 
                curfragnum++;
 
-               //copy the 2nd~n fragment frame's payload to the first fragment
-               //get the 2nd~last fragment frame's payload
+               /* copy the 2nd~n fragment frame's payload to the first fragment */
+               /* get the 2nd~last fragment frame's payload */
 
                wlanhdr_offset = pnfhdr->attrib.hdrlen + pnfhdr->attrib.iv_len;
 
                recvframe_pull(pnextrframe, wlanhdr_offset);
 
-               //append  to first fragment frame's tail (if privacy frame, pull the ICV)
+               /* append  to first fragment frame's tail (if privacy frame, pull the ICV) */
                recvframe_pull_tail(prframe, pfhdr->attrib.icv_len);
 
-               //memcpy
+               /* memcpy */
                memcpy(pfhdr->rx_tail, pnfhdr->rx_data, pnfhdr->len);
 
                recvframe_put(prframe, pnfhdr->len);
@@ -2380,7 +2175,7 @@ _func_enter_;
 
        };
 
-       //free the defrag_q queue and return the prframe
+       /* free the defrag_q queue and return the prframe */
        rtw_free_recvframe_queue(defrag_q, pfree_recv_queue);
 
        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("Performance defrag!!!!!\n"));
@@ -2390,7 +2185,7 @@ _func_exit_;
        return prframe;
 }
 
-//check if need to defrag, if needed queue the frame to defrag_q
+/* check if need to defrag, if needed queue the frame to defrag_q */
 union recv_frame* recvframe_chk_defrag(PADAPTER padapter, union recv_frame *precv_frame)
 {
        u8      ismfrag;
@@ -2411,7 +2206,7 @@ _func_enter_;
 
        pfree_recv_queue = &padapter->recvpriv.free_recv_queue;
 
-       //need to define struct of wlan header frame ctrl
+       /* need to define struct of wlan header frame ctrl */
        ismfrag = pfhdr->attrib.mfrag;
        fragnum = pfhdr->attrib.frag_num;
 
@@ -2431,32 +2226,31 @@ _func_enter_;
 
        if ((ismfrag==0) && (fragnum==0))
        {
-               prtnframe = precv_frame;//isn't a fragment frame
+               prtnframe = precv_frame;/* isn't a fragment frame */
        }
 
        if (ismfrag==1)
        {
-               //0~(n-1) fragment frame
-               //enqueue to defraf_g
-               if (pdefrag_q != NULL)
+               /* 0~(n-1) fragment frame */
+               /* enqueue to defraf_g */
+               if(pdefrag_q != NULL)
                {
-                       if (fragnum==0)
+                       if(fragnum==0)
                        {
-                               //the first fragment
-                               if (_rtw_queue_empty(pdefrag_q) == false)
+                               /* the first fragment */
+                               if(_rtw_queue_empty(pdefrag_q) == _FALSE)
                                {
-                                       //free current defrag_q
+                                       /* free current defrag_q */
                                        rtw_free_recvframe_queue(pdefrag_q, pfree_recv_queue);
                                }
                        }
 
+                       /* Then enqueue the 0~(n-1) fragment into the defrag_q */
 
-                       //Then enqueue the 0~(n-1) fragment into the defrag_q
-
-                       //_rtw_spinlock(&pdefrag_q->lock);
+                       /* spin_lock(&pdefrag_q->lock); */
                        phead = get_list_head(pdefrag_q);
                        rtw_list_insert_tail(&pfhdr->list, phead);
-                       //_rtw_spinunlock(&pdefrag_q->lock);
+                       /* spin_unlock(&pdefrag_q->lock); */
 
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("Enqueuq: ismfrag = %d, fragnum= %d\n", ismfrag,fragnum));
 
@@ -2465,7 +2259,7 @@ _func_enter_;
                }
                else
                {
-                       //can't find this ta's defrag_queue, so free this recv_frame
+                       /* can't find this ta's defrag_queue, so free this recv_frame */
                        rtw_free_recvframe(precv_frame, pfree_recv_queue);
                        prtnframe=NULL;
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("Free because pdefrag_q ==NULL: ismfrag = %d, fragnum= %d\n", ismfrag, fragnum));
@@ -2473,18 +2267,18 @@ _func_enter_;
 
        }
 
-       if ((ismfrag==0)&&(fragnum!=0))
+       if((ismfrag==0)&&(fragnum!=0))
        {
-               //the last fragment frame
-               //enqueue the last fragment
-               if (pdefrag_q != NULL)
+               /* the last fragment frame */
+               /* enqueue the last fragment */
+               if(pdefrag_q != NULL)
                {
-                       //_rtw_spinlock(&pdefrag_q->lock);
+                       /* spin_lock(&pdefrag_q->lock); */
                        phead = get_list_head(pdefrag_q);
                        rtw_list_insert_tail(&pfhdr->list,phead);
-                       //_rtw_spinunlock(&pdefrag_q->lock);
+                       /* spin_unlock(&pdefrag_q->lock); */
 
-                       //call recvframe_defrag to defrag
+                       /* call recvframe_defrag to defrag */
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("defrag: ismfrag = %d, fragnum= %d\n", ismfrag, fragnum));
                        precv_frame = recvframe_defrag(padapter, pdefrag_q);
                        prtnframe=precv_frame;
@@ -2492,7 +2286,7 @@ _func_enter_;
                }
                else
                {
-                       //can't find this ta's defrag_queue, so free this recv_frame
+                       /* can't find this ta's defrag_queue, so free this recv_frame */
                        rtw_free_recvframe(precv_frame, pfree_recv_queue);
                        prtnframe=NULL;
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("Free because pdefrag_q ==NULL: ismfrag = %d, fragnum= %d\n", ismfrag,fragnum));
@@ -2500,11 +2294,10 @@ _func_enter_;
 
        }
 
-
-       if ((prtnframe!=NULL)&&(prtnframe->u.hdr.attrib.privacy))
+       if((prtnframe!=NULL)&&(prtnframe->u.hdr.attrib.privacy))
        {
-               //after defrag we must check tkip mic code
-               if (recvframe_chkmic(padapter,  prtnframe)==_FAIL)
+               /* after defrag we must check tkip mic code */
+               if(recvframe_chkmic(padapter,  prtnframe)==_FAIL)
                {
                        RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("recvframe_chkmic(padapter,  prtnframe)==_FAIL\n"));
                        rtw_free_recvframe(prtnframe,pfree_recv_queue);
@@ -2515,11 +2308,11 @@ _func_enter_;
 _func_exit_;
 
        return prtnframe;
-
 }
 
 #define ENDIAN_FREE 1
 
+int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe);
 int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
 {
        int     a_len, padding_len;
@@ -2528,7 +2321,7 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
        unsigned char *pdata;
        struct rx_pkt_attrib *pattrib;
        unsigned char *data_ptr;
-       struct sk_buff *sub_skb,*subframes[MAX_SUBFRAME_COUNT];
+       _pkt *sub_skb,*subframes[MAX_SUBFRAME_COUNT];
        struct recv_priv *precvpriv = &padapter->recvpriv;
        _queue *pfree_recv_queue = &(precvpriv->free_recv_queue);
        int     ret = _SUCCESS;
@@ -2538,7 +2331,7 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
 
        recvframe_pull(prframe, prframe->u.hdr.attrib.hdrlen);
 
-       if (prframe->u.hdr.attrib.iv_len >0)
+       if(prframe->u.hdr.attrib.iv_len >0)
        {
                recvframe_pull(prframe, prframe->u.hdr.attrib.iv_len);
        }
@@ -2547,21 +2340,21 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
 
        pdata = prframe->u.hdr.rx_data;
 
-       while (a_len > ETH_HLEN) {
+       while(a_len > ETH_HLEN) {
 
                /* Offset 12 denote 2 mac address */
 #ifdef ENDIAN_FREE
-               //nSubframe_Length = ntohs(*((u16*)(pdata + 12)));
+               /* nSubframe_Length = ntohs(*((u16*)(pdata + 12))); */
                nSubframe_Length = RTW_GET_BE16(pdata + 12);
-#else // ENDIAN_FREE
+#else /*  ENDIAN_FREE */
                nSubframe_Length = *((u16*)(pdata + 12));
-               //==m==>change the length order
+               /* m==>change the length order */
                nSubframe_Length = (nSubframe_Length>>8) + (nSubframe_Length<<8);
-               //ntohs(nSubframe_Length);
-#endif // ENDIAN_FREE
+               /* ntohs(nSubframe_Length); */
+#endif /*  ENDIAN_FREE */
 
-               if ( a_len < (ETHERNET_HEADER_SIZE + nSubframe_Length) ) {
-                       DBG_871X("nRemain_Length is %d and nSubframe_Length is : %d\n",a_len,nSubframe_Length);
+               if( a_len < (ETHERNET_HEADER_SIZE + nSubframe_Length) ) {
+                       DBG_8723A("nRemain_Length is %d and nSubframe_Length is : %d\n",a_len,nSubframe_Length);
                        goto exit;
                }
 
@@ -2572,17 +2365,17 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
                /* Allocate new skb for releasing to upper layer */
 #ifdef CONFIG_SKB_COPY
                sub_skb = dev_alloc_skb(nSubframe_Length + 12);
-               if (sub_skb)
+               if(sub_skb)
                {
                        skb_reserve(sub_skb, 12);
                        data_ptr = (u8 *)skb_put(sub_skb, nSubframe_Length);
                        memcpy(data_ptr, pdata, nSubframe_Length);
                }
                else
-#endif // CONFIG_SKB_COPY
+#endif /*  CONFIG_SKB_COPY */
                {
                        sub_skb = skb_clone(prframe->u.hdr.pkt, GFP_ATOMIC);
-                       if (sub_skb)
+                       if(sub_skb)
                        {
                                sub_skb->data = pdata;
                                sub_skb->len = nSubframe_Length;
@@ -2590,27 +2383,29 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
                        }
                        else
                        {
-                               DBG_871X("skb_clone() Fail!!! , nr_subframes = %d\n",nr_subframes);
+                               DBG_8723A("skb_clone() Fail!!! , nr_subframes = %d\n",nr_subframes);
                                break;
                        }
                }
 
 
+               /* sub_skb->dev = padapter->pnetdev; */
                subframes[nr_subframes++] = sub_skb;
-               if (nr_subframes >= MAX_SUBFRAME_COUNT) {
-                       DBG_871X("ParseSubframe(): Too many Subframes! Packets dropped!\n");
+
+               if(nr_subframes >= MAX_SUBFRAME_COUNT) {
+                       DBG_8723A("ParseSubframe(): Too many Subframes! Packets dropped!\n");
                        break;
                }
 
                pdata += nSubframe_Length;
                a_len -= nSubframe_Length;
-               if (a_len != 0) {
+               if(a_len != 0) {
                        padding_len = 4 - ((nSubframe_Length + ETH_HLEN) & (4-1));
-                       if (padding_len == 4) {
+                       if(padding_len == 4) {
                                padding_len = 0;
                        }
 
-                       if (a_len < padding_len) {
+                       if(a_len < padding_len) {
                                goto exit;
                        }
                        pdata += padding_len;
@@ -2618,19 +2413,19 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
                }
        }
 
-       for (i=0; i<nr_subframes; i++){
+       for(i=0; i<nr_subframes; i++){
                sub_skb = subframes[i];
                /* convert hdr + possible LLC headers into Ethernet header */
 #ifdef ENDIAN_FREE
-               //eth_type = ntohs(*(u16*)&sub_skb->data[6]);
+               /* eth_type = ntohs(*(u16*)&sub_skb->data[6]); */
                eth_type = RTW_GET_BE16(&sub_skb->data[6]);
-#else // ENDIAN_FREE
+#else /*  ENDIAN_FREE */
                eth_type = (sub_skb->data[6] << 8) | sub_skb->data[7];
-#endif // ENDIAN_FREE
+#endif /*  ENDIAN_FREE */
                if (sub_skb->len >= 8 &&
-                       ((_rtw_memcmp(sub_skb->data, rtw_rfc1042_header, SNAP_SIZE) &&
-                         eth_type != ETH_P_AARP && eth_type != ETH_P_IPX) ||
-                        _rtw_memcmp(sub_skb->data, rtw_bridge_tunnel_header, SNAP_SIZE) )) {
+                   ((!memcmp(sub_skb->data, rtw_rfc1042_header, SNAP_SIZE) &&
+                     eth_type != ETH_P_AARP && eth_type != ETH_P_IPX) ||
+                    !memcmp(sub_skb->data, rtw_bridge_tunnel_header, SNAP_SIZE) )) {
                        /* remove RFC1042 or Bridge-Tunnel encapsulation and replace EtherType */
                        skb_pull(sub_skb, SNAP_SIZE);
                        memcpy(skb_push(sub_skb, ETH_ALEN), pattrib->src, ETH_ALEN);
@@ -2645,40 +2440,27 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
                }
 
                /* Indicat the packets to upper layer */
-               if (sub_skb) {
-                       //memset(sub_skb->cb, 0, sizeof(sub_skb->cb));
-
+               {
 #ifdef CONFIG_BR_EXT
-                       // Insert NAT2.5 RX here!
+                       /*  Insert NAT2.5 RX here! */
                        struct mlme_priv        *pmlmepriv = &padapter->mlmepriv;
                        void *br_port = NULL;
-
 #if (LINUX_VERSION_CODE <= KERNEL_VERSION(2, 6, 35))
                        br_port = padapter->pnetdev->br_port;
-#else   // (LINUX_VERSION_CODE <= KERNEL_VERSION(2, 6, 35))
+#else   /*  (LINUX_VERSION_CODE <= KERNEL_VERSION(2, 6, 35)) */
                        rcu_read_lock();
                        br_port = rcu_dereference(padapter->pnetdev->rx_handler_data);
                        rcu_read_unlock();
-#endif  // (LINUX_VERSION_CODE <= KERNEL_VERSION(2, 6, 35))
-
+#endif  /*  (LINUX_VERSION_CODE <= KERNEL_VERSION(2, 6, 35)) */
 
-                       if ( br_port && (check_fwstate(pmlmepriv, WIFI_STATION_STATE|WIFI_ADHOC_STATE) == true) )
-                       {
+                       if (br_port &&
+                           (check_fwstate(pmlmepriv, WIFI_STATION_STATE|WIFI_ADHOC_STATE) == _TRUE)) {
                                int nat25_handle_frame(_adapter *priv, struct sk_buff *skb);
                                if (nat25_handle_frame(padapter, sub_skb) == -1) {
-                                       //priv->ext_stats.rx_data_drops++;
-                                       //DEBUG_ERR("RX DROP: nat25_handle_frame fail!\n");
-                                       //return FAIL;
-
-#if 1
-                                       // bypass this frame to upper layer!!
-#else
-                                       dev_kfree_skb_any(sub_skb);
-                                       continue;
-#endif
+                                       /*  bypass this frame to upper layer!! */
                                }
                        }
-#endif // CONFIG_BR_EXT
+#endif /*  CONFIG_BR_EXT */
 
                        sub_skb->protocol = eth_type_trans(sub_skb, padapter->pnetdev);
                        sub_skb->dev = padapter->pnetdev;
@@ -2691,7 +2473,7 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
                        }
 #else /* !CONFIG_TCP_CSUM_OFFLOAD_RX */
                        sub_skb->ip_summed = CHECKSUM_NONE;
-#endif //CONFIG_TCP_CSUM_OFFLOAD_RX
+#endif /* CONFIG_TCP_CSUM_OFFLOAD_RX */
 
                        netif_rx(sub_skb);
                }
@@ -2700,78 +2482,78 @@ int amsdu_to_msdu(_adapter *padapter, union recv_frame *prframe)
 exit:
 
        prframe->u.hdr.len=0;
-       rtw_free_recvframe(prframe, pfree_recv_queue);//free this recv_frame
+       rtw_free_recvframe(prframe, pfree_recv_queue);/* free this recv_frame */
 
        return ret;
 }
 
+int check_indicate_seq(struct recv_reorder_ctrl *preorder_ctrl, u16 seq_num);
 int check_indicate_seq(struct recv_reorder_ctrl *preorder_ctrl, u16 seq_num)
 {
        u8      wsize = preorder_ctrl->wsize_b;
-       u16     wend = (preorder_ctrl->indicate_seq + wsize -1) & 0xFFF;//% 4096;
+       u16     wend = (preorder_ctrl->indicate_seq + wsize -1) & 0xFFF;/*  4096; */
 
-       // Rx Reorder initialize condition.
+       /*  Rx Reorder initialize condition. */
        if (preorder_ctrl->indicate_seq == 0xFFFF)
        {
                preorder_ctrl->indicate_seq = seq_num;
                #ifdef DBG_RX_SEQ
-               DBG_871X("DBG_RX_SEQ %s:%d init IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+               DBG_8723A("DBG_RX_SEQ %s:%d init IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                        preorder_ctrl->indicate_seq, seq_num);
                #endif
 
-               //DbgPrint("check_indicate_seq, 1st->indicate_seq=%d\n", precvpriv->indicate_seq);
+               /* DbgPrint("check_indicate_seq, 1st->indicate_seq=%d\n", precvpriv->indicate_seq); */
        }
 
-       //DbgPrint("enter->check_indicate_seq(): IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num);
+       /* DbgPrint("enter->check_indicate_seq(): IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num); */
 
-       // Drop out the packet which SeqNum is smaller than WinStart
-       if ( SN_LESS(seq_num, preorder_ctrl->indicate_seq) )
+       /*  Drop out the packet which SeqNum is smaller than WinStart */
+       if( SN_LESS(seq_num, preorder_ctrl->indicate_seq) )
        {
-               //RT_TRACE(COMP_RX_REORDER, DBG_LOUD, ("CheckRxTsIndicateSeq(): Packet Drop! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, NewSeqNum));
-               //DbgPrint("CheckRxTsIndicateSeq(): Packet Drop! IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num);
+               /* RT_TRACE(COMP_RX_REORDER, DBG_LOUD, ("CheckRxTsIndicateSeq(): Packet Drop! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, NewSeqNum)); */
+               /* DbgPrint("CheckRxTsIndicateSeq(): Packet Drop! IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num); */
 
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("%s IndicateSeq: %d > NewSeq: %d\n", __FUNCTION__,
+               DBG_8723A("%s IndicateSeq: %d > NewSeq: %d\n", __FUNCTION__,
                        preorder_ctrl->indicate_seq, seq_num);
                #endif
 
-
-               return false;
+               return _FALSE;
        }
 
-       //
-       // Sliding window manipulation. Conditions includes:
-       // 1. Incoming SeqNum is equal to WinStart =>Window shift 1
-       // 2. Incoming SeqNum is larger than the WinEnd => Window shift N
-       //
-       if ( SN_EQUAL(seq_num, preorder_ctrl->indicate_seq) )
+       /*  */
+       /*  Sliding window manipulation. Conditions includes: */
+       /*  1. Incoming SeqNum is equal to WinStart =>Window shift 1 */
+       /*  2. Incoming SeqNum is larger than the WinEnd => Window shift N */
+       /*  */
+       if( SN_EQUAL(seq_num, preorder_ctrl->indicate_seq) )
        {
                preorder_ctrl->indicate_seq = (preorder_ctrl->indicate_seq + 1) & 0xFFF;
                #ifdef DBG_RX_SEQ
-               DBG_871X("DBG_RX_SEQ %s:%d SN_EQUAL IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+               DBG_8723A("DBG_RX_SEQ %s:%d SN_EQUAL IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                        preorder_ctrl->indicate_seq, seq_num);
                #endif
        }
-       else if (SN_LESS(wend, seq_num))
+       else if(SN_LESS(wend, seq_num))
        {
-               //RT_TRACE(COMP_RX_REORDER, DBG_LOUD, ("CheckRxTsIndicateSeq(): Window Shift! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, NewSeqNum));
-               //DbgPrint("CheckRxTsIndicateSeq(): Window Shift! IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num);
+               /* RT_TRACE(COMP_RX_REORDER, DBG_LOUD, ("CheckRxTsIndicateSeq(): Window Shift! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, NewSeqNum)); */
+               /* DbgPrint("CheckRxTsIndicateSeq(): Window Shift! IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num); */
 
-               // boundary situation, when seq_num cross 0xFFF
-               if (seq_num >= (wsize - 1))
+               /*  boundary situation, when seq_num cross 0xFFF */
+               if(seq_num >= (wsize - 1))
                        preorder_ctrl->indicate_seq = seq_num + 1 -wsize;
                else
                        preorder_ctrl->indicate_seq = 0xFFF - (wsize - (seq_num + 1)) + 1;
 
                #ifdef DBG_RX_SEQ
-               DBG_871X("DBG_RX_SEQ %s:%d SN_LESS(wend, seq_num) IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+               DBG_8723A("DBG_RX_SEQ %s:%d SN_LESS(wend, seq_num) IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                        preorder_ctrl->indicate_seq, seq_num);
                #endif
        }
 
-       //DbgPrint("exit->check_indicate_seq(): IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num);
+       /* DbgPrint("exit->check_indicate_seq(): IndicateSeq: %d, NewSeq: %d\n", precvpriv->indicate_seq, seq_num); */
 
-       return true;
+       return _TRUE;
 }
 
 int enqueue_reorder_recvframe(struct recv_reorder_ctrl *preorder_ctrl, union recv_frame *prframe);
@@ -2783,108 +2565,104 @@ int enqueue_reorder_recvframe(struct recv_reorder_ctrl *preorder_ctrl, union rec
        union recv_frame *pnextrframe;
        struct rx_pkt_attrib *pnextattrib;
 
-       //DbgPrint("+enqueue_reorder_recvframe()\n");
-
-       //_enter_critical_ex(&ppending_recvframe_queue->lock, &irql);
-       //_rtw_spinlock_ex(&ppending_recvframe_queue->lock);
+       /* DbgPrint("+enqueue_reorder_recvframe()\n"); */
 
+       /* spin_lock_irqsave(&ppending_recvframe_queue->lock); */
+       /* spin_lock_ex(&ppending_recvframe_queue->lock); */
 
        phead = get_list_head(ppending_recvframe_queue);
        plist = get_next(phead);
 
-       while (rtw_end_of_queue_search(phead, plist) == false)
+       while(rtw_end_of_queue_search(phead, plist) == _FALSE)
        {
                pnextrframe = LIST_CONTAINOR(plist, union recv_frame, u);
                pnextattrib = &pnextrframe->u.hdr.attrib;
 
-               if (SN_LESS(pnextattrib->seq_num, pattrib->seq_num))
+               if(SN_LESS(pnextattrib->seq_num, pattrib->seq_num))
                {
                        plist = get_next(plist);
                }
-               else if ( SN_EQUAL(pnextattrib->seq_num, pattrib->seq_num))
+               else if( SN_EQUAL(pnextattrib->seq_num, pattrib->seq_num))
                {
-                       //Duplicate entry is found!! Do not insert current entry.
-                       //RT_TRACE(COMP_RX_REORDER, DBG_TRACE, ("InsertRxReorderList(): Duplicate packet is dropped!! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, SeqNum));
+                       /* Duplicate entry is found!! Do not insert current entry. */
+                       /* RT_TRACE(COMP_RX_REORDER, DBG_TRACE, ("InsertRxReorderList(): Duplicate packet is dropped!! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, SeqNum)); */
 
-                       //_exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
+                       /* spin_unlock_irqrestore(&ppending_recvframe_queue->lock); */
 
-                       return false;
+                       return _FALSE;
                }
                else
                {
                        break;
                }
 
-               //DbgPrint("enqueue_reorder_recvframe():while\n");
+               /* DbgPrint("enqueue_reorder_recvframe():while\n"); */
 
        }
 
-
-       //_enter_critical_ex(&ppending_recvframe_queue->lock, &irql);
-       //_rtw_spinlock_ex(&ppending_recvframe_queue->lock);
+       /* spin_lock_irqsave(&ppending_recvframe_queue->lock); */
+       /* spin_lock_ex(&ppending_recvframe_queue->lock); */
 
        rtw_list_delete(&(prframe->u.hdr.list));
 
        rtw_list_insert_tail(&(prframe->u.hdr.list), plist);
 
-       //_rtw_spinunlock_ex(&ppending_recvframe_queue->lock);
-       //_exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
-
-
-       //RT_TRACE(COMP_RX_REORDER, DBG_TRACE, ("InsertRxReorderList(): Pkt insert into buffer!! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, SeqNum));
-       return true;
+       /* spin_unlock_ex(&ppending_recvframe_queue->lock); */
+       /* spin_unlock_irqrestore(&ppending_recvframe_queue->lock); */
 
+       /* RT_TRACE(COMP_RX_REORDER, DBG_TRACE, ("InsertRxReorderList(): Pkt insert into buffer!! IndicateSeq: %d, NewSeq: %d\n", pTS->RxIndicateSeq, SeqNum)); */
+       return _TRUE;
 }
 
 int recv_indicatepkts_in_order(_adapter *padapter, struct recv_reorder_ctrl *preorder_ctrl, int bforced);
 int recv_indicatepkts_in_order(_adapter *padapter, struct recv_reorder_ctrl *preorder_ctrl, int bforced)
 {
-       //unsigned long irql;
-       //u8 bcancelled;
+       /* _irqL irql; */
+       /* u8 bcancelled; */
        _list   *phead, *plist;
        union recv_frame *prframe;
        struct rx_pkt_attrib *pattrib;
-       //u8 index = 0;
-       int bPktInBuf = false;
+       /* u8 index = 0; */
+       int bPktInBuf = _FALSE;
        struct recv_priv *precvpriv = &padapter->recvpriv;
        _queue *ppending_recvframe_queue = &preorder_ctrl->pending_recvframe_queue;
 
-       //DbgPrint("+recv_indicatepkts_in_order\n");
+       /* DbgPrint("+recv_indicatepkts_in_order\n"); */
 
-       //_enter_critical_ex(&ppending_recvframe_queue->lock, &irql);
-       //_rtw_spinlock_ex(&ppending_recvframe_queue->lock);
+       /* spin_lock_irqsave(&ppending_recvframe_queue->lock); */
+       /* spin_lock_ex(&ppending_recvframe_queue->lock); */
 
        phead =         get_list_head(ppending_recvframe_queue);
        plist = get_next(phead);
 
-       // Handling some condition for forced indicate case.
-       if (bforced==true)
+       /*  Handling some condition for forced indicate case. */
+       if(bforced==_TRUE)
        {
-               if (rtw_is_list_empty(phead))
+               if(rtw_is_list_empty(phead))
                {
-                       // _exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
-                       //_rtw_spinunlock_ex(&ppending_recvframe_queue->lock);
-                       return true;
+                       /*  spin_unlock_irqrestore(&ppending_recvframe_queue->lock); */
+                       /* spin_unlock_ex(&ppending_recvframe_queue->lock); */
+                       return _TRUE;
                }
 
                 prframe = LIST_CONTAINOR(plist, union recv_frame, u);
                pattrib = &prframe->u.hdr.attrib;
                preorder_ctrl->indicate_seq = pattrib->seq_num;
                #ifdef DBG_RX_SEQ
-               DBG_871X("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+               DBG_8723A("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                        preorder_ctrl->indicate_seq, pattrib->seq_num);
                #endif
        }
 
-       // Prepare indication list and indication.
-       // Check if there is any packet need indicate.
-       while (!rtw_is_list_empty(phead))
+       /*  Prepare indication list and indication. */
+       /*  Check if there is any packet need indicate. */
+       while(!rtw_is_list_empty(phead))
        {
 
                prframe = LIST_CONTAINOR(plist, union recv_frame, u);
                pattrib = &prframe->u.hdr.attrib;
 
-               if (!SN_LESS(preorder_ctrl->indicate_seq, pattrib->seq_num))
+               if(!SN_LESS(preorder_ctrl->indicate_seq, pattrib->seq_num))
                {
                        RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_,
                                 ("recv_indicatepkts_in_order: indicate=%d seq=%d amsdu=%d\n",
@@ -2893,97 +2671,76 @@ int recv_indicatepkts_in_order(_adapter *padapter, struct recv_reorder_ctrl *pre
                        plist = get_next(plist);
                        rtw_list_delete(&(prframe->u.hdr.list));
 
-                       if (SN_EQUAL(preorder_ctrl->indicate_seq, pattrib->seq_num))
+                       if(SN_EQUAL(preorder_ctrl->indicate_seq, pattrib->seq_num))
                        {
                                preorder_ctrl->indicate_seq = (preorder_ctrl->indicate_seq + 1) & 0xFFF;
                                #ifdef DBG_RX_SEQ
-                               DBG_871X("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+                               DBG_8723A("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                                        preorder_ctrl->indicate_seq, pattrib->seq_num);
                                #endif
                        }
 
-                       if (!pattrib->amsdu)
+                       if(!pattrib->amsdu)
                        {
-
-                               if ((padapter->bDriverStopped == false) &&
-                                   (padapter->bSurpriseRemoved == false))
+                               if ((padapter->bDriverStopped == _FALSE) &&
+                                   (padapter->bSurpriseRemoved == _FALSE))
                                {
 
-                                       rtw_recv_indicatepkt(padapter, prframe);//indicate this recv_frame
+                                       rtw_recv_indicatepkt(padapter, prframe);/* indicate this recv_frame */
 
                                }
                        }
-                       else if (pattrib->amsdu==1)
+                       else if(pattrib->amsdu==1)
                        {
-                               if (amsdu_to_msdu(padapter, prframe)!=_SUCCESS)
+                               if(amsdu_to_msdu(padapter, prframe)!=_SUCCESS)
                                {
                                        rtw_free_recvframe(prframe, &precvpriv->free_recv_queue);
                                }
                        }
                        else
                        {
-                               //error condition;
+                               /* error condition; */
                        }
 
-
-                       //Update local variables.
-                       bPktInBuf = false;
+                       /* Update local variables. */
+                       bPktInBuf = _FALSE;
 
                }
                else
                {
-                       bPktInBuf = true;
+                       bPktInBuf = _TRUE;
                        break;
                }
 
-               //DbgPrint("recv_indicatepkts_in_order():while\n");
+               /* DbgPrint("recv_indicatepkts_in_order():while\n"); */
 
        }
 
-       //_rtw_spinunlock_ex(&ppending_recvframe_queue->lock);
-       //_exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
+       /* spin_unlock_ex(&ppending_recvframe_queue->lock); */
+       /* spin_unlock_irqrestore(&ppending_recvframe_queue->lock); */
 
-/*
-       //Release the indication lock and set to new indication step.
-       if (bPktInBuf)
-       {
-               // Set new pending timer.
-               //pTS->RxIndicateState = RXTS_INDICATE_REORDER;
-               //PlatformSetTimer(Adapter, &pTS->RxPktPendingTimer, pHTInfo->RxReorderPendingTime);
-               //DBG_871X("_set_timer(&preorder_ctrl->reordering_ctrl_timer, REORDER_WAIT_TIME)\n");
-               _set_timer(&preorder_ctrl->reordering_ctrl_timer, REORDER_WAIT_TIME);
-       }
-       else
-       {
-               //pTS->RxIndicateState = RXTS_INDICATE_IDLE;
-       }
-*/
-       //_exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
-
-       //return true;
        return bPktInBuf;
-
 }
 
 int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe);
 int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe)
 {
-       unsigned long irql;
+       _irqL irql;
        int retval = _SUCCESS;
        struct rx_pkt_attrib *pattrib = &prframe->u.hdr.attrib;
        struct recv_reorder_ctrl *preorder_ctrl = prframe->u.hdr.preorder_ctrl;
        _queue *ppending_recvframe_queue = &preorder_ctrl->pending_recvframe_queue;
 
-       if (!pattrib->amsdu)
+       if(!pattrib->amsdu)
        {
-               //s1.
+               /* s1. */
                wlanhdr_to_ethhdr(prframe);
 
                if ((pattrib->qos!=1) /*|| pattrib->priority!=0 || IS_MCAST(pattrib->ra)*/
                        || (pattrib->eth_type==0x0806) || (pattrib->ack_policy!=0))
                {
-                       if ((padapter->bDriverStopped == false) &&
-                           (padapter->bSurpriseRemoved == false))
+                       if ((padapter->bDriverStopped == _FALSE) &&
+                           (padapter->bSurpriseRemoved == _FALSE))
                        {
                                RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_, ("@@@@  recv_indicatepkt_reorder -recv_func recv_indicatepkt\n" ));
 
@@ -2993,19 +2750,19 @@ int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe)
                        }
 
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s pattrib->qos !=1\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s pattrib->qos !=1\n", __FUNCTION__);
                        #endif
 
                        return _FAIL;
 
                }
 
-               if (preorder_ctrl->enable == false)
+               if (preorder_ctrl->enable == _FALSE)
                {
-                       //indicate this recv_frame
+                       /* indicate this recv_frame */
                        preorder_ctrl->indicate_seq = pattrib->seq_num;
                        #ifdef DBG_RX_SEQ
-                       DBG_871X("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+                       DBG_8723A("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                                preorder_ctrl->indicate_seq, pattrib->seq_num);
                        #endif
 
@@ -3013,7 +2770,7 @@ int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe)
 
                        preorder_ctrl->indicate_seq = (preorder_ctrl->indicate_seq + 1)%4096;
                        #ifdef DBG_RX_SEQ
-                       DBG_871X("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+                       DBG_8723A("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                                preorder_ctrl->indicate_seq, pattrib->seq_num);
                        #endif
 
@@ -3021,19 +2778,19 @@ int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe)
                }
 
 #ifndef CONFIG_RECV_REORDERING_CTRL
-               //indicate this recv_frame
+               /* indicate this recv_frame */
                rtw_recv_indicatepkt(padapter, prframe);
                return _SUCCESS;
 #endif
 
        }
-       else if (pattrib->amsdu==1) //temp filter -> means didn't support A-MSDUs in a A-MPDU
+       else if(pattrib->amsdu==1) /* temp filter -> means didn't support A-MSDUs in a A-MPDU */
        {
-               if (preorder_ctrl->enable == false)
+               if (preorder_ctrl->enable == _FALSE)
                {
                        preorder_ctrl->indicate_seq = pattrib->seq_num;
                        #ifdef DBG_RX_SEQ
-                       DBG_871X("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+                       DBG_8723A("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                                preorder_ctrl->indicate_seq, pattrib->seq_num);
                        #endif
 
@@ -3041,13 +2798,13 @@ int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe)
 
                        preorder_ctrl->indicate_seq = (preorder_ctrl->indicate_seq + 1)%4096;
                        #ifdef DBG_RX_SEQ
-                       DBG_871X("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
+                       DBG_8723A("DBG_RX_SEQ %s:%d IndicateSeq: %d, NewSeq: %d\n", __FUNCTION__, __LINE__,
                                preorder_ctrl->indicate_seq, pattrib->seq_num);
                        #endif
 
-                       if (retval != _SUCCESS){
+                       if(retval != _SUCCESS){
                                #ifdef DBG_RX_DROP_FRAME
-                               DBG_871X("DBG_RX_DROP_FRAME %s amsdu_to_msdu fail\n", __FUNCTION__);
+                               DBG_8723A("DBG_RX_DROP_FRAME %s amsdu_to_msdu fail\n", __FUNCTION__);
                                #endif
                        }
 
@@ -3059,167 +2816,155 @@ int recv_indicatepkt_reorder(_adapter *padapter, union recv_frame *prframe)
 
        }
 
-       _enter_critical_bh(&ppending_recvframe_queue->lock, &irql);
+       spin_lock_bh(&ppending_recvframe_queue->lock);
 
        RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_,
                 ("recv_indicatepkt_reorder: indicate=%d seq=%d\n",
                  preorder_ctrl->indicate_seq, pattrib->seq_num));
 
-       //s2. check if winstart_b(indicate_seq) needs to been updated
-       if (!check_indicate_seq(preorder_ctrl, pattrib->seq_num))
+       /* s2. check if winstart_b(indicate_seq) needs to been updated */
+       if(!check_indicate_seq(preorder_ctrl, pattrib->seq_num))
        {
-               //pHTInfo->RxReorderDropCounter++;
-               //ReturnRFDList(Adapter, pRfd);
-               //RT_TRACE(COMP_RX_REORDER, DBG_TRACE, ("RxReorderIndicatePacket() ==> Packet Drop!!\n"));
-               //_exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
-               //return _FAIL;
+               /* pHTInfo->RxReorderDropCounter++; */
+               /* ReturnRFDList(Adapter, pRfd); */
+               /* RT_TRACE(COMP_RX_REORDER, DBG_TRACE, ("RxReorderIndicatePacket() ==> Packet Drop!!\n")); */
+               /* spin_unlock_irqrestore(&ppending_recvframe_queue->lock); */
+               /* return _FAIL; */
 
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s check_indicate_seq fail\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s check_indicate_seq fail\n", __FUNCTION__);
                #endif
-
-               rtw_recv_indicatepkt(padapter, prframe);
-
-               _exit_critical_bh(&ppending_recvframe_queue->lock, &irql);
-
-               goto _success_exit;
+               goto _err_exit;
        }
 
-
-       //s3. Insert all packet into Reorder Queue to maintain its ordering.
-       if (!enqueue_reorder_recvframe(preorder_ctrl, prframe))
+       /* s3. Insert all packet into Reorder Queue to maintain its ordering. */
+       if(!enqueue_reorder_recvframe(preorder_ctrl, prframe))
        {
-               //DbgPrint("recv_indicatepkt_reorder, enqueue_reorder_recvframe fail!\n");
-               //_exit_critical_ex(&ppending_recvframe_queue->lock, &irql);
-               //return _FAIL;
+               /* DbgPrint("recv_indicatepkt_reorder, enqueue_reorder_recvframe fail!\n"); */
+               /* spin_unlock_irqrestore(&ppending_recvframe_queue->lock); */
+               /* return _FAIL; */
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s enqueue_reorder_recvframe fail\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s enqueue_reorder_recvframe fail\n", __FUNCTION__);
                #endif
                goto _err_exit;
        }
 
+       /* s4. */
+       /*  Indication process. */
+       /*  After Packet dropping and Sliding Window shifting as above, we can now just indicate the packets */
+       /*  with the SeqNum smaller than latest WinStart and buffer other packets. */
+       /*  */
+       /*  For Rx Reorder condition: */
+       /*  1. All packets with SeqNum smaller than WinStart => Indicate */
+       /*  2. All packets with SeqNum larger than or equal to WinStart => Buffer it. */
+       /*  */
 
-       //s4.
-       // Indication process.
-       // After Packet dropping and Sliding Window shifting as above, we can now just indicate the packets
-       // with the SeqNum smaller than latest WinStart and buffer other packets.
-       //
-       // For Rx Reorder condition:
-       // 1. All packets with SeqNum smaller than WinStart => Indicate
-       // 2. All packets with SeqNum larger than or equal to WinStart => Buffer it.
-       //
-
-       //recv_indicatepkts_in_order(padapter, preorder_ctrl, true);
-       if (recv_indicatepkts_in_order(padapter, preorder_ctrl, false)==true)
+       /* recv_indicatepkts_in_order(padapter, preorder_ctrl, _TRUE); */
+       if(recv_indicatepkts_in_order(padapter, preorder_ctrl, _FALSE)==_TRUE)
        {
                _set_timer(&preorder_ctrl->reordering_ctrl_timer, REORDER_WAIT_TIME);
-               _exit_critical_bh(&ppending_recvframe_queue->lock, &irql);
+               spin_unlock_bh(&ppending_recvframe_queue->lock);
        }
        else
        {
-               _exit_critical_bh(&ppending_recvframe_queue->lock, &irql);
+               spin_unlock_bh(&ppending_recvframe_queue->lock);
                _cancel_timer_ex(&preorder_ctrl->reordering_ctrl_timer);
        }
 
-
 _success_exit:
 
        return _SUCCESS;
 
 _err_exit:
 
-        _exit_critical_bh(&ppending_recvframe_queue->lock, &irql);
+        spin_unlock_bh(&ppending_recvframe_queue->lock);
 
        return _FAIL;
 }
 
-
 void rtw_reordering_ctrl_timeout_handler(void *pcontext)
 {
-       unsigned long irql;
+       _irqL irql;
        struct recv_reorder_ctrl *preorder_ctrl = (struct recv_reorder_ctrl *)pcontext;
        _adapter *padapter = preorder_ctrl->padapter;
        _queue *ppending_recvframe_queue = &preorder_ctrl->pending_recvframe_queue;
 
-
-       if (padapter->bDriverStopped ||padapter->bSurpriseRemoved)
+       if(padapter->bDriverStopped ||padapter->bSurpriseRemoved)
        {
                return;
        }
 
-       //DBG_871X("+rtw_reordering_ctrl_timeout_handler()=>\n");
+       /* DBG_8723A("+rtw_reordering_ctrl_timeout_handler()=>\n"); */
 
-       _enter_critical_bh(&ppending_recvframe_queue->lock, &irql);
+       spin_lock_bh(&ppending_recvframe_queue->lock);
 
-       if (recv_indicatepkts_in_order(padapter, preorder_ctrl, true)==true)
+       if(recv_indicatepkts_in_order(padapter, preorder_ctrl, _TRUE)==_TRUE)
        {
                _set_timer(&preorder_ctrl->reordering_ctrl_timer, REORDER_WAIT_TIME);
        }
 
-       _exit_critical_bh(&ppending_recvframe_queue->lock, &irql);
-
+       spin_unlock_bh(&ppending_recvframe_queue->lock);
 }
 
 int process_recv_indicatepkts(_adapter *padapter, union recv_frame *prframe);
 int process_recv_indicatepkts(_adapter *padapter, union recv_frame *prframe)
 {
        int retval = _SUCCESS;
-       //struct recv_priv *precvpriv = &padapter->recvpriv;
-       //struct rx_pkt_attrib *pattrib = &prframe->u.hdr.attrib;
+       /* struct recv_priv *precvpriv = &padapter->recvpriv; */
+       /* struct rx_pkt_attrib *pattrib = &prframe->u.hdr.attrib; */
        struct mlme_priv        *pmlmepriv = &padapter->mlmepriv;
 #ifdef CONFIG_TDLS
        struct sta_info *psta = prframe->u.hdr.psta;
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
 #ifdef CONFIG_80211N_HT
 
        struct ht_priv  *phtpriv = &pmlmepriv->htpriv;
 
 #ifdef CONFIG_TDLS
-       if ( (phtpriv->ht_option==true) ||
+       if( (phtpriv->ht_option==_TRUE) ||
                ((psta->tdls_sta_state & TDLS_LINKED_STATE) &&
-                (psta->htpriv.ht_option==true) &&
-                (psta->htpriv.ampdu_enable==true))) //B/G/N Mode
+                (psta->htpriv.ht_option==_TRUE) &&
+                (psta->htpriv.ampdu_enable==_TRUE))) /* B/G/N Mode */
 #else
-       if (phtpriv->ht_option==true)  //B/G/N Mode
-#endif //CONFIG_TDLS
+       if(phtpriv->ht_option==_TRUE)  /* B/G/N Mode */
+#endif /* CONFIG_TDLS */
        {
-               //prframe->u.hdr.preorder_ctrl = &precvpriv->recvreorder_ctrl[pattrib->priority];
+               /* prframe->u.hdr.preorder_ctrl = &precvpriv->recvreorder_ctrl[pattrib->priority]; */
 
-               if (recv_indicatepkt_reorder(padapter, prframe)!=_SUCCESS)// including perform A-MPDU Rx Ordering Buffer Control
+               if(recv_indicatepkt_reorder(padapter, prframe)!=_SUCCESS)/*  including perform A-MPDU Rx Ordering Buffer Control */
                {
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s recv_indicatepkt_reorder error!\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s recv_indicatepkt_reorder error!\n", __FUNCTION__);
                        #endif
 
-                       if ((padapter->bDriverStopped == false) &&
-                           (padapter->bSurpriseRemoved == false))
+                       if ((padapter->bDriverStopped == _FALSE) &&
+                           (padapter->bSurpriseRemoved == _FALSE))
                        {
                                retval = _FAIL;
                                return retval;
                        }
                }
        }
-       else //B/G mode
+       else /* B/G mode */
 #endif
        {
                retval=wlanhdr_to_ethhdr (prframe);
-               if (retval != _SUCCESS)
+               if(retval != _SUCCESS)
                {
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("wlanhdr_to_ethhdr: drop pkt\n"));
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("wlanhdr_to_ethhdr: drop pkt \n"));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s wlanhdr_to_ethhdr error!\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s wlanhdr_to_ethhdr error!\n", __FUNCTION__);
                        #endif
                        return retval;
                }
 
-               if ((padapter->bDriverStopped ==false)&&( padapter->bSurpriseRemoved==false))
+               if ((padapter->bDriverStopped ==_FALSE)&&( padapter->bSurpriseRemoved==_FALSE))
                {
-                       //indicate this recv_frame
+                       /* indicate this recv_frame */
                        RT_TRACE(_module_rtl871x_recv_c_, _drv_notice_, ("@@@@ process_recv_indicatepkts- recv_func recv_indicatepkt\n" ));
                        rtw_recv_indicatepkt(padapter, prframe);
 
-
                }
                else
                {
@@ -3233,7 +2978,6 @@ int process_recv_indicatepkts(_adapter *padapter, union recv_frame *prframe)
        }
 
        return retval;
-
 }
 
 int recv_func_prehandle(_adapter *padapter, union recv_frame *rframe)
@@ -3243,36 +2987,12 @@ int recv_func_prehandle(_adapter *padapter, union recv_frame *rframe)
        struct recv_priv *precvpriv = &padapter->recvpriv;
        _queue *pfree_recv_queue = &padapter->recvpriv.free_recv_queue;
 
-#ifdef CONFIG_MP_INCLUDED
-       struct mlme_priv *pmlmepriv = &padapter->mlmepriv;
-#endif //CONFIG_MP_INCLUDED
-
-#ifdef CONFIG_MP_INCLUDED
-       if (padapter->registrypriv.mp_mode == 1)
-       {
-               if ((check_fwstate(pmlmepriv, WIFI_MP_STATE) == true))//&&(padapter->mppriv.check_mp_pkt == 0))
-               {
-               if (pattrib->crc_err == 1)
-                       padapter->mppriv.rx_crcerrpktcount++;
-               else
-                       padapter->mppriv.rx_pktcount++;
-
-               if (check_fwstate(pmlmepriv, WIFI_MP_LPBK_STATE) == false) {
-                       RT_TRACE(_module_rtl871x_recv_c_, _drv_alert_, ("MP - Not in loopback mode , drop pkt\n"));
-                       ret = _FAIL;
-                       rtw_free_recvframe(rframe, pfree_recv_queue);//free this recv_frame
-                       goto exit;
-               }
-               }
-       }
-#endif
-
-       //check the frame crtl field and decache
+       /* check the frame crtl field and decache */
        ret = validate_recv_frame(padapter, rframe);
        if (ret != _SUCCESS)
        {
                RT_TRACE(_module_rtl871x_recv_c_, _drv_info_, ("recv_func: validate_recv_frame fail! drop pkt\n"));
-               rtw_free_recvframe(rframe, pfree_recv_queue);//free this recv_frame
+               rtw_free_recvframe(rframe, pfree_recv_queue);/* free this recv_frame */
                goto exit;
        }
 
@@ -3288,65 +3008,64 @@ int recv_func_posthandle(_adapter *padapter, union recv_frame *prframe)
        struct recv_priv *precvpriv = &padapter->recvpriv;
        _queue *pfree_recv_queue = &padapter->recvpriv.free_recv_queue;
 
-
 #ifdef CONFIG_TDLS
        u8 *psnap_type, *pcategory;
        struct sta_info *ptdls_sta = NULL;
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
-
-       // DATA FRAME
+       /*  DATA FRAME */
        rtw_led_control(padapter, LED_CTL_RX);
 
        prframe = decryptor(padapter, prframe);
        if (prframe == NULL) {
                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("decryptor: drop pkt\n"));
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s decryptor: drop pkt\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s decryptor: drop pkt\n", __FUNCTION__);
                #endif
                ret = _FAIL;
                goto _recv_data_drop;
        }
 
 #ifdef CONFIG_TDLS
-       //check TDLS frame
+       /* check TDLS frame */
        psnap_type = get_recvframe_data(orig_prframe);
        psnap_type+=pattrib->hdrlen + pattrib->iv_len+SNAP_SIZE;
        pcategory = psnap_type + ETH_TYPE_LEN + PAYLOAD_TYPE_LEN;
 
-       if ((_rtw_memcmp(psnap_type, SNAP_ETH_TYPE_TDLS, ETH_TYPE_LEN)) &&
-               ((*pcategory==RTW_WLAN_CATEGORY_TDLS) || (*pcategory==RTW_WLAN_CATEGORY_P2P))){
-               ret = OnTDLS(padapter, prframe);        //all of functions will return _FAIL
+       if (!memcmp(psnap_type, SNAP_ETH_TYPE_TDLS, ETH_TYPE_LEN) &&
+           ((*pcategory==RTW_WLAN_CATEGORY_TDLS) ||
+            (*pcategory==RTW_WLAN_CATEGORY_P2P))) {
+               ret = OnTDLS(padapter, prframe);        /* all of functions will return _FAIL */
                goto _exit_recv_func;
        }
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
        prframe = recvframe_chk_defrag(padapter, prframe);
-       if (prframe==NULL)      {
+       if(prframe==NULL)       {
                RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("recvframe_chk_defrag: drop pkt\n"));
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s recvframe_chk_defrag: drop pkt\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s recvframe_chk_defrag: drop pkt\n", __FUNCTION__);
                #endif
                goto _recv_data_drop;
        }
 
        prframe=portctrl(padapter, prframe);
        if (prframe == NULL) {
-               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("portctrl: drop pkt\n"));
+               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("portctrl: drop pkt \n"));
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s portctrl: drop pkt\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s portctrl: drop pkt\n", __FUNCTION__);
                #endif
                ret = _FAIL;
                goto _recv_data_drop;
        }
 
 #ifdef CONFIG_TDLS
-       if (padapter->tdlsinfo.setup_state == TDLS_LINKED_STATE)
+       if(padapter->tdlsinfo.setup_state == TDLS_LINKED_STATE)
                ptdls_sta = rtw_get_stainfo(&padapter->stapriv, pattrib->src);
        count_rx_stats(padapter, prframe, ptdls_sta);
 #else
        count_rx_stats(padapter, prframe, NULL);
-#endif //CONFIG_TDLS
+#endif /* CONFIG_TDLS */
 
 #ifdef CONFIG_WAPI_SUPPORT
        rtw_wapi_update_info(padapter, prframe);
@@ -3356,36 +3075,36 @@ int recv_func_posthandle(_adapter *padapter, union recv_frame *prframe)
        ret = process_recv_indicatepkts(padapter, prframe);
        if (ret != _SUCCESS)
        {
-               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("recv_func: process_recv_indicatepkts fail!\n"));
+               RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("recv_func: process_recv_indicatepkts fail! \n"));
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s process_recv_indicatepkts fail!\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s process_recv_indicatepkts fail!\n", __FUNCTION__);
                #endif
-               rtw_free_recvframe(orig_prframe, pfree_recv_queue);//free this recv_frame
+               rtw_free_recvframe(orig_prframe, pfree_recv_queue);/* free this recv_frame */
                goto _recv_data_drop;
        }
-#else // CONFIG_80211N_HT
+#else /*  CONFIG_80211N_HT */
        if (!pattrib->amsdu)
        {
                ret = wlanhdr_to_ethhdr (prframe);
                if (ret != _SUCCESS)
                {
-                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("wlanhdr_to_ethhdr: drop pkt\n"));
+                       RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("wlanhdr_to_ethhdr: drop pkt \n"));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s wlanhdr_to_ethhdr: drop pkt\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s wlanhdr_to_ethhdr: drop pkt\n", __FUNCTION__);
                        #endif
-                       rtw_free_recvframe(orig_prframe, pfree_recv_queue);//free this recv_frame
+                       rtw_free_recvframe(orig_prframe, pfree_recv_queue);/* free this recv_frame */
                        goto _recv_data_drop;
                }
 
-               if ((padapter->bDriverStopped == false) && (padapter->bSurpriseRemoved == false))
+               if ((padapter->bDriverStopped == _FALSE) && (padapter->bSurpriseRemoved == _FALSE))
                {
                        RT_TRACE(_module_rtl871x_recv_c_, _drv_alert_, ("@@@@ recv_func: recv_func rtw_recv_indicatepkt\n" ));
-                       //indicate this recv_frame
+                       /* indicate this recv_frame */
                        ret = rtw_recv_indicatepkt(padapter, prframe);
                        if (ret != _SUCCESS)
                        {
                                #ifdef DBG_RX_DROP_FRAME
-                               DBG_871X("DBG_RX_DROP_FRAME %s rtw_recv_indicatepkt fail!\n", __FUNCTION__);
+                               DBG_8723A("DBG_RX_DROP_FRAME %s rtw_recv_indicatepkt fail!\n", __FUNCTION__);
                                #endif
                                goto _recv_data_drop;
                        }
@@ -3395,22 +3114,22 @@ int recv_func_posthandle(_adapter *padapter, union recv_frame *prframe)
                        RT_TRACE(_module_rtl871x_recv_c_, _drv_alert_, ("@@@@  recv_func: rtw_free_recvframe\n" ));
                        RT_TRACE(_module_rtl871x_recv_c_, _drv_debug_, ("recv_func:bDriverStopped(%d) OR bSurpriseRemoved(%d)", padapter->bDriverStopped, padapter->bSurpriseRemoved));
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s ecv_func:bDriverStopped(%d) OR bSurpriseRemoved(%d)\n", __FUNCTION__,
+                       DBG_8723A("DBG_RX_DROP_FRAME %s ecv_func:bDriverStopped(%d) OR bSurpriseRemoved(%d)\n", __FUNCTION__,
                                padapter->bDriverStopped, padapter->bSurpriseRemoved);
                        #endif
                        ret = _FAIL;
-                       rtw_free_recvframe(orig_prframe, pfree_recv_queue); //free this recv_frame
+                       rtw_free_recvframe(orig_prframe, pfree_recv_queue); /* free this recv_frame */
                }
 
        }
-       else if (pattrib->amsdu==1)
+       else if(pattrib->amsdu==1)
        {
 
                ret = amsdu_to_msdu(padapter, prframe);
-               if (ret != _SUCCESS)
+               if(ret != _SUCCESS)
                {
                        #ifdef DBG_RX_DROP_FRAME
-                       DBG_871X("DBG_RX_DROP_FRAME %s amsdu_to_msdu fail\n", __FUNCTION__);
+                       DBG_8723A("DBG_RX_DROP_FRAME %s amsdu_to_msdu fail\n", __FUNCTION__);
                        #endif
                        rtw_free_recvframe(orig_prframe, pfree_recv_queue);
                        goto _recv_data_drop;
@@ -3419,11 +3138,11 @@ int recv_func_posthandle(_adapter *padapter, union recv_frame *prframe)
        else
        {
                #ifdef DBG_RX_DROP_FRAME
-               DBG_871X("DBG_RX_DROP_FRAME %s what is this condition??\n", __FUNCTION__);
+               DBG_8723A("DBG_RX_DROP_FRAME %s what is this condition??\n", __FUNCTION__);
                #endif
                goto _recv_data_drop;
        }
-#endif // CONFIG_80211N_HT
+#endif /*  CONFIG_80211N_HT */
 
 _exit_recv_func:
        return ret;
@@ -3433,6 +3152,7 @@ _recv_data_drop:
        return ret;
 }
 
+int recv_func(_adapter *padapter, union recv_frame *rframe);
 int recv_func(_adapter *padapter, union recv_frame *rframe)
 {
        int ret;
@@ -3442,28 +3162,29 @@ int recv_func(_adapter *padapter, union recv_frame *rframe)
        struct mlme_priv *mlmepriv = &padapter->mlmepriv;
 
        /* check if need to handle uc_swdec_pending_queue*/
-       if (check_fwstate(mlmepriv, WIFI_STATION_STATE) && psecuritypriv->busetkipkey) {
+       if (check_fwstate(mlmepriv, WIFI_STATION_STATE) && psecuritypriv->busetkipkey)
+       {
                union recv_frame *pending_frame;
-               unsigned long irqL;
+               _irqL irqL;
 
-               while ((pending_frame=rtw_alloc_recvframe(&padapter->recvpriv.uc_swdec_pending_queue))) {
+               while((pending_frame=rtw_alloc_recvframe(&padapter->recvpriv.uc_swdec_pending_queue))) {
                        if (recv_func_posthandle(padapter, pending_frame) == _SUCCESS)
-                               DBG_871X("%s: dequeue uc_swdec_pending_queue\n", __func__);
+                               DBG_8723A("%s: dequeue uc_swdec_pending_queue\n", __func__);
                }
        }
 
        ret = recv_func_prehandle(padapter, rframe);
 
-       if (ret == _SUCCESS) {
+       if(ret == _SUCCESS) {
 
                /* check if need to enqueue into uc_swdec_pending_queue*/
                if (check_fwstate(mlmepriv, WIFI_STATION_STATE) &&
                        !IS_MCAST(prxattrib->ra) && prxattrib->encrypt>0 &&
-                       (prxattrib->bdecrypted == 0 ||psecuritypriv->sw_decrypt == true) &&
+                       (prxattrib->bdecrypted == 0 ||psecuritypriv->sw_decrypt == _TRUE) &&
                        !is_wep_enc(psecuritypriv->dot11PrivacyAlgrthm) &&
                        !psecuritypriv->busetkipkey) {
                        rtw_enqueue_recvframe(rframe, &padapter->recvpriv.uc_swdec_pending_queue);
-                       DBG_871X("%s: no key, enqueue uc_swdec_pending_queue\n", __func__);
+                       DBG_8723A("%s: no key, enqueue uc_swdec_pending_queue\n", __func__);
                        goto exit;
                }
 
@@ -3474,7 +3195,6 @@ exit:
        return ret;
 }
 
-
 s32 rtw_recv_entry(union recv_frame *precvframe)
 {
        _adapter *padapter;
@@ -3483,20 +3203,18 @@ s32 rtw_recv_entry(union recv_frame *precvframe)
 
 _func_enter_;
 
-//     RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("+rtw_recv_entry\n"));
+/*     RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("+rtw_recv_entry\n")); */
 
        padapter = precvframe->u.hdr.adapter;
 
        precvpriv = &padapter->recvpriv;
 
-
        if ((ret = recv_func(padapter, precvframe)) == _FAIL)
        {
                RT_TRACE(_module_rtl871x_recv_c_,_drv_info_,("rtw_recv_entry: recv_func return fail!!!\n"));
                goto _recv_entry_drop;
        }
 
-
        precvpriv->rx_pkts++;
 
 _func_exit_;
@@ -3505,12 +3223,7 @@ _func_exit_;
 
 _recv_entry_drop:
 
-#ifdef CONFIG_MP_INCLUDED
-       if (padapter->registrypriv.mp_mode == 1)
-               padapter->mppriv.rx_pktloss = precvpriv->rx_drop;
-#endif
-
-       //RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("_recv_entry_drop\n"));
+       /* RT_TRACE(_module_rtl871x_recv_c_,_drv_err_,("_recv_entry_drop\n")); */
 
 _func_exit_;
 
@@ -3527,44 +3240,44 @@ void rtw_signal_stat_timer_hdl(RTW_TIMER_HDL_ARGS){
        u8 avg_signal_qual = 0;
        u32 num_signal_strength = 0;
        u32 num_signal_qual = 0;
-       u8 _alpha = 3; // this value is based on converging_constant = 5000 and sampling_interval = 1000
+       u8 _alpha = 3; /*  this value is based on converging_constant = 5000 and sampling_interval = 1000 */
 
-       if (adapter->recvpriv.is_signal_dbg) {
-               //update the user specific value, signal_strength_dbg, to signal_strength, rssi
+       if(adapter->recvpriv.is_signal_dbg) {
+               /* update the user specific value, signal_strength_dbg, to signal_strength, rssi */
                adapter->recvpriv.signal_strength= adapter->recvpriv.signal_strength_dbg;
                adapter->recvpriv.rssi=(s8)translate_percentage_to_dbm((u8)adapter->recvpriv.signal_strength_dbg);
        } else {
 
-               if (recvpriv->signal_strength_data.update_req == 0) {// update_req is clear, means we got rx
+               if(recvpriv->signal_strength_data.update_req == 0) {/*  update_req is clear, means we got rx */
                        avg_signal_strength = recvpriv->signal_strength_data.avg_val;
                        num_signal_strength = recvpriv->signal_strength_data.total_num;
-                       // after avg_vals are accquired, we can re-stat the signal values
+                       /*  after avg_vals are accquired, we can re-stat the signal values */
                        recvpriv->signal_strength_data.update_req = 1;
                }
 
-               if (recvpriv->signal_qual_data.update_req == 0) {// update_req is clear, means we got rx
+               if(recvpriv->signal_qual_data.update_req == 0) {/*  update_req is clear, means we got rx */
                        avg_signal_qual = recvpriv->signal_qual_data.avg_val;
                        num_signal_qual = recvpriv->signal_qual_data.total_num;
-                       // after avg_vals are accquired, we can re-stat the signal values
+                       /*  after avg_vals are accquired, we can re-stat the signal values */
                        recvpriv->signal_qual_data.update_req = 1;
                }
 
-               //update value of signal_strength, rssi, signal_qual
-               if (check_fwstate(&adapter->mlmepriv, _FW_UNDER_SURVEY) == false) {
+               /* update value of signal_strength, rssi, signal_qual */
+               if(check_fwstate(&adapter->mlmepriv, _FW_UNDER_SURVEY) == _FALSE) {
                        tmp_s = (avg_signal_strength+(_alpha-1)*recvpriv->signal_strength);
-                       if (tmp_s %_alpha)
+                       if(tmp_s %_alpha)
                                tmp_s = tmp_s/_alpha + 1;
                        else
                                tmp_s = tmp_s/_alpha;
-                       if (tmp_s>100)
+                       if(tmp_s>100)
                                tmp_s = 100;
 
                        tmp_q = (avg_signal_qual+(_alpha-1)*recvpriv->signal_qual);
-                       if (tmp_q %_alpha)
+                       if(tmp_q %_alpha)
                                tmp_q = tmp_q/_alpha + 1;
                        else
                                tmp_q = tmp_q/_alpha;
-                       if (tmp_q>100)
+                       if(tmp_q>100)
                                tmp_q = 100;
 
                        recvpriv->signal_strength = tmp_s;
@@ -3572,7 +3285,7 @@ void rtw_signal_stat_timer_hdl(RTW_TIMER_HDL_ARGS){
                        recvpriv->signal_qual = tmp_q;
 
                        #if defined(DBG_RX_SIGNAL_DISPLAY_PROCESSING) && 1
-                       DBG_871X("%s signal_strength:%3u, rssi:%3d, signal_qual:%3u"
+                       DBG_8723A("%s signal_strength:%3u, rssi:%3d, signal_qual:%3u"
                                ", num_signal_strength:%u, num_signal_qual:%u"
                                "\n"
                                , __FUNCTION__
@@ -3585,6 +3298,5 @@ void rtw_signal_stat_timer_hdl(RTW_TIMER_HDL_ARGS){
                }
        }
        rtw_set_signal_stat_timer(recvpriv);
-
 }
-#endif //CONFIG_NEW_SIGNAL_STAT_PROCESS
+#endif /* CONFIG_NEW_SIGNAL_STAT_PROCESS */