);\r
end component;\r
-signal d_in, q_out : std_logic_vector(13 downto 0);\r
-signal we_n, oe_n : std_logic;\r
+signal d_in : std_logic_vector(13 downto 0);\r
+signal we_n, oe_n : std_logic;\r
begin\r
dbg_vl_we_n <= we_n;\r
oe_n <= '0' when ale = '0' else\r
'1';\r
out_reg_inst : d_flip_flop generic map (14)\r
- port map (clk, rst_n, '1', we_n, d_in, q_out);\r
- out_tss_inst : tri_state_buffer generic map (14)\r
- port map (oe_n, q_out, v_addr);\r
+ port map (clk, rst_n, '1', we_n, d_in, v_addr);\r
end rtl;