OSDN Git Service

add dct hardware with device driver
[fpga-leon-mjpeg/leon-mjpeg.git] / grlib-gpl-1.0.22-b4095 / designs / work_ip / inter_dct.txtU
diff --git a/grlib-gpl-1.0.22-b4095/designs/work_ip/inter_dct.txtU b/grlib-gpl-1.0.22-b4095/designs/work_ip/inter_dct.txtU
new file mode 100644 (file)
index 0000000..aea8978
--- /dev/null
@@ -0,0 +1,9600 @@
+C6E0
+C849
+CA55
+CC49
+CE12
+D005
+D211
+D37A
+0110
+0110
+0110
+0110
+0110
+0110
+0110
+0110
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+C528
+C67E
+C867
+CA2C
+CBC3
+CD88
+CF71
+D0C7
+00CC
+00CC
+00CC
+00CC
+00CC
+00CC
+00CC
+00CC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D397
+D47A
+D58F
+D63F
+D6AB
+D75B
+D870
+D953
+FFF6
+FFE3
+FFBF
+FF91
+FF5F
+FF31
+FF0E
+FEFB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D28E
+D304
+D2DE
+D477
+D690
+D63F
+D865
+DE04
+0178
+024F
+0208
+00E1
+00CB
+0109
+FF3A
+FC82
+FFA6
+FFE9
+0040
+0069
+0037
+FFB2
+FF14
+FEAB
+0084
+0027
+FFB1
+FF7D
+FFC8
+0086
+0167
+01FC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FFFF
+FF3E
+FE0E
+FCE8
+FC2D
+FBF9
+FC20
+FC51
+FEA2
+FF2D
+FFF1
+007B
+007B
+FFF1
+FF2D
+FEA2
+FFA3
+FFCA
+0010
+006C
+00D0
+012D
+0173
+019A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0167
+01E0
+028B
+0305
+0305
+028B
+01E0
+0167
+01A9
+0102
+0009
+FF39
+FEEE
+FF33
+FFC2
+0030
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+C3F2
+C52E
+C694
+C76A
+C841
+CA2C
+CD1A
+CF6B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+C655
+C738
+C84D
+C8FD
+C969
+CA19
+CB2E
+CC11
+FFB5
+FF83
+FF44
+FF2D
+FF5F
+FFD2
+0058
+00B0
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D0F4
+D078
+D03F
+D0E9
+D23F
+D373
+D3FD
+D40C
+FF97
+FFD0
+003A
+00C5
+015A
+01E5
+024F
+0288
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0084
+0027
+FFB1
+FF7D
+FFC8
+0086
+0167
+01FC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CC2D
+CC99
+CD41
+CDE3
+CE47
+CE5E
+CE42
+CE23
+0110
+0110
+0110
+0110
+0110
+0110
+0110
+0110
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FFFF
+FF3E
+FE0E
+FCE8
+FC2D
+FBF9
+FC20
+FC51
+0108
+00CE
+0064
+FFDA
+FF44
+FEBA
+FE50
+FE16
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+016D
+019D
+01F5
+0268
+02E5
+0359
+03B1
+03E1
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+C62B
+C73B
+C8F7
+CAC4
+CC22
+CCDA
+CD0D
+CD09
+0021
+0059
+002F
+FF49
+FE33
+FDD8
+FE71
+FF35
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+C9A7
+CB1C
+CD20
+CECE
+D002
+D125
+D266
+D350
+FE69
+FE69
+FE69
+FE69
+FE69
+FE69
+FE69
+FE69
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CCAB
+CDE5
+CE6A
+CDFC
+CE2E
+CEF9
+CEBA
+CDA6
+FDEB
+FDFE
+FE22
+FE50
+FE82
+FEB0
+FED3
+FEE6
+0088
+0088
+0088
+0088
+0088
+0088
+0088
+0088
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D2DB
+D333
+D3B8
+D42C
+D45E
+D446
+D408
+D3D6
+FE41
+FE99
+FF1E
+FF92
+FFC4
+FFAC
+FF6E
+FF3C
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FE0F
+FD66
+FC62
+FB75
+FAF8
+FAFE
+FB51
+FB9B
+01C5
+01C5
+01C5
+01C5
+01C5
+01C5
+01C5
+01C5
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+02EC
+0304
+0330
+036A
+03A8
+03E2
+040E
+0426
+FEAD
+FEAD
+FEAD
+FEAD
+FEAD
+FEAD
+FEAD
+FEAD
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CC73
+CDAF
+CF49
+D06D
+D10A
+D1A3
+D27A
+D32B
+00D6
+00E9
+010C
+013A
+016C
+019B
+01BE
+01D1
+FF3F
+FF52
+FF75
+FFA3
+FFD5
+0003
+0027
+003A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+C9D2
+CA77
+CB8A
+CCB6
+CDAF
+CE51
+CE9F
+CEBA
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0088
+0088
+0088
+0088
+0088
+0088
+0088
+0088
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D2FE
+D254
+D1A9
+D183
+D1AE
+D188
+D0DD
+D033
+0197
+0197
+0197
+0197
+0197
+0197
+0197
+0197
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CEE7
+D0C8
+D0D3
+D09A
+D0C8
+CF56
+D024
+D455
+FF96
+003A
+FFB4
+FE76
+FE92
+FF44
+FDFA
+FB9A
+FFEE
+00A4
+0120
+00C6
+0031
+0085
+01F6
+0359
+FF7C
+FFD9
+004F
+0083
+0038
+FF7A
+FE9A
+FE04
+0106
+005D
+FF7D
+FF0A
+FF6E
+009A
+0206
+02FC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FCCE
+FC9F
+FC46
+FBD3
+FB56
+FAE3
+FA8B
+FA5B
+FFBF
+FF51
+FEC2
+FE7D
+FEC8
+FF98
+0091
+0138
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+03CE
+03E6
+0412
+044C
+048B
+04C4
+04F0
+0508
+FF85
+000F
+00D3
+015E
+015E
+00D3
+000F
+FF85
+FFA3
+FFCA
+0010
+006C
+00D0
+012D
+0173
+019A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CB76
+CB7E
+CCBE
+CEC4
+CFF7
+D0C3
+D2C8
+D51F
+0122
+FFC9
+00FC
+0087
+FDF6
+FEE6
+FE91
+F9A9
+FF5C
+FFFE
+0057
+FFD0
+FF09
+FF2E
+007C
+01CC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0106
+00B5
+001F
+FF5B
+FE86
+FDC2
+FD2C
+FCDB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CDCF
+CC7D
+CE25
+CDB7
+D0F4
+D0C4
+D3EA
+E80D
+FB6A
+FDBB
+FF43
+FF1C
+FF1C
+FF43
+FDBB
+FB6A
+015F
+0109
+008E
+0038
+0038
+008E
+0109
+015F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E90D
+ED96
+ECA3
+ED74
+E9B7
+E700
+E9EC
+E3DB
+EE52
+EEEB
+F86A
+FFF4
+00C2
+05E7
+0883
+01C4
+00A3
+F4DC
+F169
+F5DE
+F9BB
+0063
+058C
+03C0
+04F2
+0309
+FF7C
+FBA0
+F9CA
+FB9D
+001A
+03DF
+0193
+01BA
+00A1
+FDD9
+FB5F
+FBD7
+FF55
+02BB
+0071
+0376
+056E
+0348
+FED7
+FCB1
+FEA9
+01AE
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EF83
+E846
+E856
+E3B7
+E201
+E611
+E48F
+E603
+F94E
+F898
+F920
+FC16
+FFED
+01CE
+00CF
+FF04
+FE91
+FFFE
+015D
+014E
+FFF2
+FE89
+FDFD
+FE10
+00FA
+01E9
+0261
+015C
+FF86
+FE81
+FEFA
+FFE8
+FE67
+FE8D
+FED3
+FF30
+FF94
+FFF0
+0036
+005D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FC8E
+FBD0
+FA3E
+F7C9
+F49E
+F137
+EE4E
+EC9E
+008D
+0134
+022D
+02FD
+0348
+0302
+0273
+0206
+FF05
+FF2B
+FF72
+FFCE
+0032
+008E
+00D5
+00FB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0A76
+0B5D
+05F5
+0CD5
+222A
+29FC
+25EB
+27C5
+FDE9
+0197
+FF4B
+F85E
+F85E
+FF4B
+0197
+FDE9
+02B0
+013D
+FF0F
+FD31
+FC69
+FCD7
+FDEA
+FEC4
+F92B
+FD05
+FFF7
+FE5F
+FA34
+F89C
+FB8E
+FF68
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D899
+D8F2
+D977
+D9EA
+DA1C
+DA05
+D9C7
+D994
+FEDC
+FEB6
+FE6F
+FE13
+FDAF
+FD53
+FD0C
+FCE6
+0046
+FFDC
+FF3E
+FEBA
+FE88
+FEB0
+FF07
+FF4B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E967
+F30B
+FB55
+0084
+0559
+067D
+0410
+0298
+F553
+F0F9
+EC80
+E9F2
+E7B7
+E564
+E4BF
+E593
+FCB0
+FC16
+FB44
+FAC5
+FAF7
+FBD2
+FCEB
+FDAB
+01FC
+0167
+0086
+FFC8
+FF7D
+FFB1
+0027
+0084
+FF05
+FF2B
+FF72
+FFCE
+0032
+008E
+00D5
+00FB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D7C6
+D74F
+D6AE
+D652
+D684
+D73D
+D824
+D8C1
+FCA9
+FD02
+FD87
+FDFA
+FE2C
+FE15
+FDD7
+FDA4
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0053
+FE8D
+FC2F
+FA81
+F9E3
+F9D5
+F9C2
+F99C
+E60C
+E735
+E816
+E7A4
+E6A5
+E6BE
+E862
+EA16
+FE78
+FFC8
+0116
+013B
+0074
+FFED
+0045
+00E8
+0039
+00CF
+01B0
+026E
+02B9
+0285
+020F
+01B2
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FE9A
+01E9
+0578
+065F
+04AB
+02BB
+0244
+02BD
+F86B
+F739
+F57D
+F422
+F3D7
+F4A7
+F5FA
+F6F3
+03F8
+041E
+0465
+04C1
+0525
+0581
+05C8
+05EE
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+01E0
+0287
+0380
+0450
+049B
+0456
+03C7
+0359
+FE82
+FE5B
+FE15
+FDB8
+FD55
+FCF8
+FCB2
+FC8B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D81E
+E3D6
+F4C8
+051B
+133E
+1ED7
+2699
+2A0E
+078E
+0D98
+1095
+0CC7
+07A8
+0503
+02A4
+FFF1
+039E
+01D2
+FFC3
+FEEB
+FF4E
+FFD0
+FFAB
+FF39
+0141
+019D
+0214
+0248
+01FD
+013E
+005E
+FFC8
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CE73
+CE4E
+CF07
+D689
+E4CE
+F2AA
+FEDE
+089B
+00B8
+01BD
+04AA
+099D
+0EE7
+123A
+12DB
+1241
+00DA
+00F8
+01B7
+031A
+0413
+036F
+014F
+FF65
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+2BDE
+2A75
+2868
+2675
+24AC
+22B9
+20AD
+1F44
+FE2C
+FE5E
+FE9D
+FEB4
+FE82
+FE0F
+FD89
+FD31
+FF8F
+FF4B
+FEF4
+FECB
+FEFD
+FF82
+0020
+008A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+1235
+16A4
+1ADF
+1D40
+1FFB
+22A6
+2248
+1FF0
+109C
+0CD3
+08B4
+05B4
+0295
+FFD0
+FF85
+00EA
+FEC1
+FEE7
+FF2E
+FF8A
+FFEE
+004A
+0091
+00B7
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+01EF
+0859
+1104
+1765
+1A15
+1AC7
+1B69
+1C24
+0553
+06E6
+0746
+0496
+00A3
+FF09
+00F0
+0398
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0125
+FFBC
+FD88
+FB75
+FA3D
+FA0F
+FA89
+FB04
+FF4E
+FEE0
+FE51
+FE0C
+FE57
+FF26
+001F
+00C7
+FF05
+FF2B
+FF72
+FFCE
+0032
+008E
+00D5
+00FB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CD16
+CEED
+CE15
+CD6D
+D024
+D3D1
+D919
+DF44
+FE27
+FEDC
+FE76
+FDDB
+0000
+0493
+0801
+08F1
+FFB3
+FF4A
+FF5B
+006D
+01CA
+022F
+014B
+0035
+004B
+002F
+FFFA
+FFB4
+FF69
+FF24
+FEEF
+FED3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D3C6
+D303
+D2EB
+D368
+D33E
+D30C
+D47B
+D691
+FEE6
+FFE3
+FFE2
+FF18
+FF66
+0000
+FE77
+FBE5
+FEDE
+FF94
+0010
+FFB7
+FF22
+FF75
+00E6
+0249
+FF7C
+FFD9
+004F
+0083
+0038
+FF7A
+FE9A
+FE04
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E434
+E76C
+F016
+FE32
+0CD0
+1582
+1685
+1453
+0ABF
+0C12
+0D74
+0C31
+07BF
+048C
+06B2
+0B0A
+FEE7
+FF9F
+0081
+00CC
+0004
+FE48
+FC4B
+FAFB
+009D
+0184
+0225
+01BC
+0112
+019B
+0393
+056D
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+DAB3
+E086
+EA7D
+F3FF
+F851
+F765
+F51D
+F40D
+F51B
+F1B3
+F2FB
+FAC0
+0158
+0200
+0038
+FF99
+0498
+030F
+0157
+00A7
+00D8
+00D5
+0013
+FF37
+FB2B
+FDF8
+0146
+02C2
+0218
+00BC
+0006
+FFFB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FA02
+FB3B
+FE69
+031A
+06C0
+06B5
+0330
+FFAE
+00CA
+017A
+02FD
+056C
+08A4
+0C28
+0F33
+10F8
+FEBD
+0296
+0589
+03F1
+FFC6
+FE2E
+0120
+04F9
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0374
+02C3
+0163
+00A9
+035A
+0B26
+15D4
+1DA9
+FE6B
+FFA6
+0084
+FEE5
+F9A1
+F184
+E933
+E3EF
+FEC3
+0183
+0078
+FE45
+02D4
+08F0
+04A4
+FA7C
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+CDBC
+D0D1
+CF8B
+CE50
+D5C5
+E3FB
+F38B
+FEFF
+00D5
+00DE
+027E
+0301
+FEAB
+F925
+F93E
+FD25
+FEA0
+0017
+0163
+00F3
+FECE
+FC56
+FAC3
+FA32
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FEFA
+FFA4
+0083
+00F6
+0092
+FF66
+FDFA
+FD04
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D487
+D6C8
+D7F2
+D894
+DCE6
+E4DA
+EB60
+EDE1
+F83C
+F797
+F5DD
+F557
+F928
+FFFA
+0523
+06E3
+058C
+0491
+0260
+FF64
+FD0D
+FCC5
+FE68
+0023
+FCEA
+FEEC
+01CF
+03F9
+0444
+02A4
+002B
+FE63
+0132
+FF6C
+FE39
+FF62
+01DB
+0303
+01D1
+000A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+063A
+0096
+F7AC
+F2E7
+F311
+F1F0
+F0AE
+F238
+03B8
+0792
+087E
+04F2
+0262
+02B4
+0218
+FFEA
+FABD
+FC16
+FD9A
+FE4F
+FE82
+FF38
+00BC
+0214
+FF7C
+FFD9
+004F
+0083
+0038
+FF7A
+FE9A
+FE04
+FE0A
+FE56
+FEE4
+FF9C
+0064
+011C
+01AA
+01F6
+0106
+00B5
+001F
+FF5B
+FE86
+FDC2
+FD2C
+FCDB
+FF1E
+FF74
+0013
+00E3
+01C4
+0293
+0332
+0388
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ED98
+EE1F
+F0BF
+F20A
+F1C1
+F3BD
+F4D4
+F282
+059B
+03A7
+0306
+0459
+04D9
+03B2
+0311
+0396
+00E6
+FE78
+FE65
+013B
+0266
+00AB
+FFA4
+006C
+FE4A
+FE4E
+FF2D
+0124
+02FA
+030C
+013D
+FF5C
+FE5C
+FCBC
+FBD0
+FD55
+0032
+01B6
+00CB
+FF2A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F95D
+FC38
+FE87
+FD00
+F7A4
+F160
+ECFC
+EB1C
+0145
+03C1
+072B
+0955
+08BF
+0580
+0142
+FE54
+FE67
+FE8D
+FED3
+FF30
+FF94
+FFF0
+0036
+005D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0975
+0C54
+0906
+10EA
+273A
+31F4
+32AC
+3862
+F5FA
+F7E0
+F510
+F118
+F5EB
+FFCD
+0195
+FC1F
+070E
+0976
+051B
+FC0F
+FA7F
+00A9
+02CF
+FF35
+FEC9
+FF29
+FFD9
+00C0
+01BA
+02A0
+0351
+03B0
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E1B8
+E386
+E6A2
+E73A
+E68D
+E884
+E891
+E4AB
+FF9B
+00C5
+00A2
+FF37
+FF05
+0013
+FFF0
+FEA0
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+FF78
+00EF
+FFC8
+FEE6
+FF60
+00A0
+011A
+0038
+FF11
+019A
+0173
+012D
+00D0
+006C
+0010
+FFCA
+FFA3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E7F2
+E9D4
+E95D
+E90B
+EAB9
+EAF2
+EB3E
+EDAC
+FDD4
+FD1D
+FC7C
+FC91
+FD11
+FD27
+FC86
+FBCF
+FE67
+FED1
+FF6E
+FFF3
+0025
+FFFD
+FFA5
+FF62
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E900
+E5FA
+ED80
+F179
+EA68
+EAFD
+F038
+ED57
+FE97
+FCEA
+FC06
+FDD0
+0143
+0398
+0378
+0256
+00DB
+00E5
+00D2
+0070
+FFA8
+FE99
+FD91
+FCEE
+0084
+0027
+FFB1
+FF7D
+FFC8
+0086
+0167
+01FC
+0106
+005D
+FF7D
+FF0A
+FF6E
+009A
+0206
+02FC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EFFD
+F10E
+EF12
+ECC5
+EC4D
+EA8B
+E952
+EAED
+FC7E
+017B
+03B0
+00B0
+FE05
+FE58
+FE41
+FCAB
+FDB7
+FF1A
+008B
+00DE
+0049
+FFF0
+006C
+0122
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ECA6
+EC15
+EB3E
+EA8B
+EA4C
+EA8C
+EB0B
+EB6D
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+290A
+2AD3
+2DB7
+30B1
+32E3
+33F7
+342E
+3412
+FC10
+FB85
+FAC2
+FA37
+FA37
+FAC2
+FB85
+FC10
+0333
+020D
+006D
+FF46
+FF46
+006D
+020D
+0333
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+D8E5
+D9C8
+DADD
+DB8D
+DBF8
+DCA9
+DDBE
+DEA1
+FE73
+FE86
+FEA9
+FED8
+FF09
+FF38
+FF5B
+FF6E
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F772
+F670
+F3E7
+EFA3
+EA66
+E597
+E24A
+E0BD
+EAE1
+EBC2
+ED9D
+F07F
+F434
+F82B
+FB8E
+FD83
+003B
+FFD2
+FFE3
+00F5
+0252
+02B7
+01D3
+00BD
+01FC
+0167
+0086
+FFC8
+FF7D
+FFB1
+0027
+0084
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+DDF8
+DDF8
+DDF8
+DDF8
+DDF8
+DDF8
+DDF8
+DDF8
+FF34
+FF34
+FF34
+FF34
+FF34
+FF34
+FF34
+FF34
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E091
+DFDC
+DF39
+DF58
+E018
+E0C2
+E0E3
+E0B7
+FEA0
+FFF0
+0013
+FF05
+FF37
+00A2
+00C5
+FF9B
+0155
+00EC
+004E
+FFC9
+FF97
+FFC0
+0017
+005A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+014B
+0013
+FE06
+FBBF
+F9CC
+F878
+F7C2
+F77C
+F721
+F857
+FA59
+FC83
+FE44
+FF59
+FFD3
+FFF4
+0470
+0423
+0396
+02DD
+0216
+015D
+00D0
+0083
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+00FD
+01EE
+0376
+050F
+0648
+06EF
+0720
+071E
+02CC
+0293
+0229
+019E
+0109
+007E
+0014
+FFDB
+FD6B
+FDB8
+FE45
+FEFE
+FFC5
+007E
+010B
+0158
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+1AB0
+1828
+12F5
+0CBB
+0799
+0324
+FDF1
+F9A4
+FC1D
+FC61
+FCF9
+FD82
+FD09
+FADD
+F7A2
+F531
+0050
+FFF9
+FF7F
+FF28
+FF28
+FF7F
+FFF9
+0050
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+1BDA
+1745
+0DEE
+0311
+FC4C
+FBDB
+FEC4
+0112
+02F0
+040A
+06F7
+09BD
+093D
+064B
+04A0
+04F5
+002E
+0071
+00C8
+00F1
+00BF
+003A
+FF9C
+FF33
+00AA
+006A
+0028
+003A
+00CF
+01D3
+02E8
+039B
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F5A7
+F356
+F000
+ED79
+ED15
+EEE3
+F1AC
+F3B0
+F3E1
+F3D3
+F411
+F49A
+F4B6
+F3A0
+F193
+EFE5
+0058
+007F
+00C5
+0121
+0185
+01E2
+0228
+024F
+FFC8
+005E
+013E
+01FD
+0248
+0214
+019D
+0141
+FF62
+FF62
+FF62
+FF62
+FF62
+FF62
+FF62
+FF62
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+050A
+0930
+0B7B
+0A09
+095F
+0C01
+0F0F
+1036
+0377
+048B
+057E
+0523
+0378
+017D
+0024
+FF99
+FFD7
+FB56
+F7C9
+F763
+F7C6
+F8E6
+FD00
+01CD
+040B
+0283
+004C
+FE9B
+FE50
+FF76
+0144
+0293
+FD2A
+FD50
+FD97
+FDF3
+FE57
+FEB3
+FEFA
+FF20
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+1B17
+144D
+1159
+1265
+0D46
+02C4
+FE7A
+0158
+0057
+005C
+00A1
+0170
+02E7
+04CB
+0698
+07B2
+FF98
+FEFF
+FDE4
+FC73
+FAE3
+F972
+F858
+F7BF
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FA67
+FB0A
+FDF5
+03E8
+0AF8
+0FF9
+118D
+113D
+FE5A
+FF93
+FF0E
+FABF
+F475
+F027
+EFA2
+F0DB
+01A6
+0165
+016C
+026F
+04C7
+0817
+0B5F
+0D6B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0CB4
+023D
+FA63
+F7CD
+F55C
+F306
+F088
+ED64
+0B9E
+0795
+0376
+00E8
+FE17
+FAAF
+F8DC
+F8EC
+FA9D
+FC26
+FDDD
+FE8D
+FE5C
+FE5F
+FF22
+FFFE
+018B
+00F5
+0015
+FF57
+FF0C
+FF40
+FFB6
+0013
+FFA3
+FFCA
+0010
+006C
+00D0
+012D
+0173
+019A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F3F0
+F569
+F73A
+F830
+F806
+F75C
+F6E1
+F6BB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EDFB
+F43B
+F72F
+F65C
+F63C
+F6A4
+F8D3
+FCC4
+FB0E
+000B
+03D9
+0342
+0175
+01B9
+033A
+041E
+0057
+00D3
+00E6
+0002
+FED7
+FEA0
+FFA7
+00D1
+01B1
+0062
+FE94
+FD6E
+FDB9
+FF6A
+01A1
+0329
+0163
+0093
+FF6D
+FE9D
+FE9D
+FF6D
+0093
+0163
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F62F
+F621
+F5CA
+F4E3
+F354
+F158
+EF7A
+EE56
+0172
+026F
+0372
+03A1
+0321
+02C6
+0305
+0377
+FE3B
+FDF8
+FDA0
+FD78
+FDAA
+FE2F
+FECC
+FF36
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FA20
+F5B0
+F041
+ED4D
+ED4C
+EE22
+EE0E
+ED68
+0BE6
+097E
+05BF
+023B
+002F
+FFEA
+00C3
+019A
+056B
+04F8
+0424
+030F
+01E4
+00CF
+FFFB
+FF88
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+2743
+2DC7
+351A
+37FC
+36C8
+35E0
+37D8
+3A92
+EABF
+F4B9
+FA59
+F84F
+F9A1
+011D
+0535
+0380
+F944
+F629
+F498
+F7FE
+FE1C
+0182
+FFF0
+FCD6
+FCFA
+F9E0
+F84F
+FBB4
+01D2
+0538
+03A7
+008C
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F12E
+F1EA
+F1D7
+F0E6
+F049
+EF7D
+ED1F
+EA76
+FE27
+FF3C
+00C4
+01D9
+01D9
+00C4
+FF3C
+FE27
+02FC
+03E2
+0492
+0433
+033A
+02DB
+038B
+0471
+FCD0
+FBE2
+FB69
+FC6E
+FE44
+FF49
+FED1
+FDE2
+03A5
+0476
+043B
+01E7
+FF0A
+FE55
+0067
+02D7
+FF19
+003F
+01E0
+0306
+0306
+01E0
+003F
+FF19
+0119
+FFAE
+FDAB
+FC40
+FC40
+FDAB
+FFAE
+0119
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F0FB
+F26A
+F3CB
+F2A0
+EE7B
+EB00
+EB9E
+EE46
+032D
+01CB
+00B9
+FFE6
+FE50
+FDB7
+0079
+0446
+FFEA
+002D
+0084
+00AD
+007B
+FFF6
+FF58
+FEEF
+FF1E
+FF74
+0013
+00E3
+01C4
+0293
+0332
+0388
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E879
+E8B9
+E839
+E7A4
+E897
+EA51
+EA95
+E99B
+FDD9
+FF2E
+0021
+FF54
+FD5B
+FC03
+FC32
+FCFC
+03C5
+03CF
+03BD
+035B
+0293
+0184
+007C
+FFD9
+FE87
+FEC1
+FF2B
+FFB5
+004B
+00D5
+013F
+0179
+019A
+0173
+012D
+00D0
+006C
+0010
+FFCA
+FFA3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F142
+F412
+F7BD
+F8AC
+F516
+EFED
+ED78
+EDB8
+0012
+FDD7
+FD8B
+FBD4
+F98B
+FD02
+008A
+FE8A
+FD72
+FC7C
+00BA
+07DD
+0873
+0265
+FEFB
+0063
+0571
+0336
+FFE9
+FD35
+FC54
+FD69
+FF78
+0107
+FE36
+FD66
+FDA0
+FFF4
+02D1
+0386
+0174
+FF04
+0071
+0376
+056E
+0348
+FED7
+FCB1
+FEA9
+01AE
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EDC8
+ED98
+ED40
+ECCC
+EC50
+EBDC
+EB84
+EB54
+0026
+0043
+0078
+00BD
+0108
+014D
+0182
+019F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+356B
+33E8
+31B9
+3014
+2FD6
+3108
+32DE
+3432
+FE7C
+FE94
+FE84
+FDF9
+FCCE
+FB2E
+F996
+F899
+00B9
+006D
+FFE0
+FF27
+FE5F
+FDA7
+FD1A
+FCCD
+03B6
+03B6
+03B6
+03B6
+03B6
+03B6
+03B6
+03B6
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ED21
+EBA7
+E9D6
+E8E0
+E90B
+E9B5
+EA2F
+EA56
+0146
+008F
+FFEE
+0004
+0084
+009A
+FFF9
+FF41
+FF28
+FF7F
+FFF9
+0050
+0050
+FFF9
+FF7F
+FF28
+03E6
+0317
+01CD
+0084
+FFA3
+FF4D
+FF59
+FF7C
+019A
+0173
+012D
+00D0
+006C
+0010
+FFCA
+FFA3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ED0D
+EE13
+EEDC
+EE70
+ED53
+ECE6
+EDAF
+EEB6
+FB35
+FC18
+FD61
+FE5F
+FE91
+FDEF
+FCED
+FC30
+00EE
+0054
+FF82
+FF03
+FF35
+0011
+0129
+01E9
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EB7A
+EAD0
+EA9C
+ECDD
+F197
+F512
+F41A
+F121
+FE8F
+FF7D
+FE92
+0200
+0984
+0A3D
+057E
+03B7
+FF48
+FF1B
+00AA
+0483
+07D1
+06ED
+01CA
+FCE1
+005A
+00C1
+01EE
+037D
+03DD
+01A2
+FD74
+FA11
+FE8C
+03D2
+012A
+FFB5
+044E
+0139
+FC45
+FFEB
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EF84
+EF00
+EE68
+EE50
+EF18
+F0A1
+F254
+F370
+FC52
+FCDC
+FEA4
+0028
+FF5A
+FDDB
+FEDE
+0157
+FE65
+FCD2
+FBDC
+FD25
+FFAD
+00F5
+FFFF
+FE6D
+039A
+0212
+FFDB
+FE2A
+FDDF
+FF05
+00D3
+0222
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FE75
+FF8A
+00EE
+018A
+00A9
+FE6E
+FBCD
+FA0B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EB14
+EA21
+E8CB
+E7D8
+E7D8
+E8CB
+EA21
+EB14
+0041
+00AF
+013E
+0183
+0138
+0068
+FF70
+FEC8
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+3391
+3420
+3529
+3683
+37F9
+3953
+3A5C
+3AEC
+FBEA
+FB43
+FA4A
+F97A
+F92F
+F975
+FA04
+FA71
+02D6
+02B0
+0269
+020D
+01A9
+014D
+0106
+00E0
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+DEF7
+DE2F
+DE4A
+DF80
+E0B3
+E24F
+E579
+E8A0
+FF4C
+002E
+FFDF
+FE73
+FDC8
+FD36
+FA9B
+F764
+FFB7
+FFC0
+FFF7
+0087
+0180
+02BE
+03E9
+049F
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FFA3
+FFCA
+0010
+006C
+00D0
+012D
+0173
+019A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E203
+E5EE
+ED1C
+F5A6
+FC9C
+FFBD
+FF43
+FDC5
+FD46
+FBCE
+FA5D
+FA8A
+FC99
+FF7B
+01DE
+031A
+00E7
+FF67
+FD36
+FB80
+FB1C
+FC1A
+FDBD
+FEF1
+FFDA
+FFBD
+FF88
+FF43
+FEF8
+FEB3
+FE7E
+FE61
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ED44
+F00E
+F498
+F8F4
+FB12
+FA05
+F6E7
+F448
+F4EF
+F487
+F567
+F8D1
+FDE9
+0269
+04D0
+057D
+01E6
+FF7F
+FC9F
+FB47
+FBDC
+FD3A
+FE2D
+FE7B
+020A
+004C
+FE89
+FE45
+FF3A
+FFE9
+FF7D
+FEB2
+01BF
+00C9
+FF5D
+FE31
+FDCD
+FE40
+FF20
+FFC9
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FB85
+F8DD
+FA01
+FD02
+FE73
+0150
+04C0
+057C
+03A0
+011B
+FC92
+F759
+F356
+F1E7
+F2BA
+F3FE
+03AA
+0521
+066D
+05FC
+03D8
+0160
+FFCD
+FF3C
+FC45
+FE7C
+00EA
+01A7
+00B2
+FF8B
+FF4B
+FF9D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FBF2
+FEF2
+0414
+09FC
+0F59
+135B
+15D0
+16EB
+FDD2
+F917
+F410
+F2F6
+F5BD
+F8F7
+FA10
+F9A9
+FFBE
+FF98
+FF51
+FEF5
+FE91
+FE35
+FDEE
+FDC8
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+04A8
+0388
+01A7
+FF9A
+FDE5
+FCCB
+FC41
+FC13
+00CE
+01E3
+036B
+0480
+0480
+036B
+01E3
+00CE
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F83C
+FE2E
+023B
+0427
+072E
+0B43
+1261
+1A7D
+F075
+F382
+F6D8
+F906
+FA06
+F82F
+F255
+EC6A
+00C4
+FFF2
+FF65
+FFF2
+011D
+01AB
+011E
+004B
+011A
+0084
+FFA4
+FEE5
+FE9A
+FECF
+FF45
+FFA2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+127D
+1057
+08D5
+06D1
+1463
+280F
+3536
+3A9B
+FF87
+FD91
+0452
+0AB6
+04F3
+FDA7
+FF0C
+0332
+025A
+FFB3
+0638
+FBDB
+F40A
+FC5A
+FD06
+FD7E
+0259
+FE17
+FDDF
+030D
+05D7
+031E
+0037
+0014
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+24C4
+2A6D
+2EBF
+2DBA
+297E
+23E5
+1CBB
+16BD
+EA84
+ED65
+F06E
+F329
+F773
+FCA7
+FFB0
+0018
+FF4C
+FE9F
+FDAA
+FCFD
+FCFD
+FDAA
+FE9F
+FF4C
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+3E7E
+3B34
+35D8
+2E51
+2401
+1916
+116F
+0E49
+0726
+0A80
+0D03
+0CC6
+0BB0
+0AAD
+0898
+063A
+FC4D
+FB8D
+FB24
+FBDF
+FD3C
+FDF7
+FD8E
+FCCE
+0039
+00CF
+01B0
+026E
+02B9
+0285
+020F
+01B2
+FE67
+FE8D
+FED3
+FF30
+FF94
+FFF0
+0036
+005D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+085E
+0CB7
+091D
+FE09
+FAA3
+03A3
+0D6D
+10A4
+0576
+08EA
+0DA7
+10A1
+0FC0
+0B27
+052C
+010D
+F642
+F54D
+FBD6
+06C1
+0918
+0281
+FF47
+0207
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0827
+0552
+023E
+0129
+01A4
+0182
+FFC5
+FDE3
+F4EB
+F621
+F823
+FA4D
+FC0E
+FD23
+FD9D
+FDBF
+07BE
+05FF
+0344
+00AC
+FF1D
+FED2
+FF58
+FFE5
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FDE2
+02AA
+03C5
+FAC9
+F1F9
+F77E
+059C
+0E4A
+0532
+09AA
+0B0C
+0195
+F792
+FC07
+0699
+0A23
+00CC
+044F
+FE0D
+F873
+FF4B
+0634
+06A4
+071D
+03A4
+0154
+FF90
+0085
+0305
+03FA
+0235
+FFE6
+02D1
+FE4B
+00F4
+0113
+FB90
+008D
+0A19
+0A73
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+00E2
+008C
+FFED
+FF1D
+FE3C
+FD6D
+FCCE
+FC78
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EE09
+EF2F
+EEFE
+EFEB
+F1FD
+F1B0
+F243
+F5B9
+0287
+013E
+0146
+02C8
+035E
+02F1
+03BD
+0578
+FF55
+FF25
+FEF1
+FEF6
+FF5A
+000D
+00CE
+014B
+00F5
+0098
+0022
+FFEE
+0039
+00F7
+01D8
+026E
+FFA3
+FFCA
+0010
+006C
+00D0
+012D
+0173
+019A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+05E7
+06A5
+01E2
+FA56
+F4D4
+EF98
+EB98
+EB1D
+0A33
+06FC
+0462
+03D0
+0324
+01B8
+0169
+024C
+07DD
+0610
+0401
+0329
+038C
+040E
+03E9
+0377
+FD5B
+FE86
+0047
+01C4
+025A
+01F2
+0105
+004C
+05C8
+02E5
+0000
+FFA0
+0151
+0291
+01F7
+00B4
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FCB2
+FE73
+0115
+0350
+0431
+0395
+0231
+011C
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FBA1
+0141
+0858
+0C37
+0B54
+079F
+03FF
+020C
+0A89
+0C9B
+0C79
+055F
+F8AF
+EF1B
+EEF9
+F386
+0414
+05AB
+0118
+F7D7
+F4EB
+FAE2
+00D4
+0216
+0419
+023F
+0047
+FFBE
+0068
+00D2
+0031
+FF49
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ED13
+ECF7
+ED2E
+EE43
+F074
+F36E
+F652
+F81C
+0184
+016D
+017C
+0207
+0332
+04D2
+066A
+0767
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+36D9
+3669
+3374
+2CA6
+23A2
+1BE2
+1796
+1634
+0246
+0006
+FA80
+F267
+EB87
+E9EE
+ED96
+F1D5
+FC1A
+F8D9
+F702
+FA0B
+FFC5
+02CF
+00F7
+FDB6
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E96B
+EB0D
+EDEB
+F104
+F2F0
+F2CA
+F111
+EF74
+FE94
+FF91
+FA10
+F442
+F512
+F727
+F94D
+FD39
+FDD0
+FAA3
+FC7D
+0335
+05BD
+02A6
+01A1
+0434
+0052
+02AC
+0527
+058D
+03B8
+0147
+FFBD
+FF40
+03B6
+002A
+FC65
+FB91
+FDA7
+0012
+00E5
+0098
+FDE1
+FDE1
+FDE1
+FDE1
+FDE1
+FDE1
+FDE1
+FDE1
+FF1E
+FF74
+0013
+00E3
+01C4
+0293
+0332
+0388
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ED47
+EE56
+ED7E
+EB8C
+EC85
+F046
+F27E
+F22E
+FDD7
+0082
+FE38
+FC60
+006B
+03C2
+054B
+0832
+FF97
+FEB0
+FE49
+FF9A
+0255
+0500
+0683
+06F6
+FF1E
+FF74
+0013
+00E3
+01C4
+0293
+0332
+0388
+FFDA
+00AA
+01D0
+02A0
+02A0
+01D0
+00AA
+FFDA
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EBF2
+EC2F
+EF22
+F3FD
+F790
+FA81
+FFC0
+0526
+02BA
+0644
+0417
+00D1
+038E
+082C
+0DA6
+1420
+0308
+FC5D
+FDF7
+0258
+00C1
+0092
+0137
+FDC2
+FEF4
+FEB8
+FF55
+015E
+03C9
+04DF
+0426
+02F7
+FF20
+FEFA
+FEB3
+FE57
+FDF3
+FD97
+FD50
+FD2A
+0028
+014F
+02EF
+0416
+0416
+02EF
+014F
+0028
+026D
+0101
+FEFF
+FD93
+FD93
+FEFF
+0101
+026D
+007E
+002D
+FF97
+FED3
+FDFF
+FD3B
+FCA4
+FC53
+F566
+F429
+EFD1
+ED12
+EDB0
+EC90
+EA85
+EAE7
+0ACC
+07B1
+01FE
+FCF5
+FC01
+FDC7
+FE33
+FCF3
+04B6
+FECB
+FAF4
+FCD7
+FFF4
+00A9
+00A6
+0153
+007A
+F679
+F9AC
+02F5
+0123
+FD74
+FF50
+0062
+FD98
+FCC7
+FD01
+FF56
+0233
+02E8
+00D6
+FE66
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EB7A
+EBDB
+EC5A
+EC9A
+EC5B
+EBA9
+EAD1
+EA40
+02FC
+0255
+015C
+008C
+0041
+0087
+0116
+0184
+FE67
+FE8D
+FED3
+FF30
+FF94
+FFF0
+0036
+005D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+325D
+3060
+2ED9
+2FCB
+3278
+345D
+342D
+3322
+FA17
+F8BD
+F8C7
+FC01
+008A
+02AF
+0132
+FEC2
+FF2B
+0178
+04B8
+0705
+0705
+04B8
+0178
+FF2B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F0A3
+F227
+F2A7
+F178
+F085
+F090
+F04C
+EF81
+0179
+FF6D
+FE47
+FEB4
+FEB4
+FE47
+FF6D
+0179
+FB1A
+FC90
+FE25
+FEA6
+FE43
+FE18
+FEB7
+FF80
+FC78
+FDA4
+FF65
+00E2
+0178
+0110
+0023
+FF6A
+03F7
+02DB
+0128
+FFA0
+FED8
+FEEF
+FF88
+000B
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F4E0
+F4DE
+F44B
+F2D2
+F0E6
+EF6C
+EEDA
+EED7
+FF62
+FB3E
+F88C
+FA21
+FD30
+FF00
+0021
+012B
+00F1
+031E
+04E6
+041C
+0162
+FF3E
+FF1C
+FFEE
+00EF
+FEF1
+FCED
+FCBA
+FE45
+FFF7
+00A1
+0088
+FE67
+FE8D
+FED3
+FF30
+FF94
+FFF0
+0036
+005D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FA0B
+FBCD
+FE6E
+00A9
+018A
+00EE
+FF8A
+FE75
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EFA7
+F4C5
+F9E1
+F78B
+F0D5
+EEC9
+EF4D
+EDA3
+048E
+0564
+058B
+03EE
+015F
+FFC2
+FFEA
+00C0
+FF62
+FC1F
+F973
+FAA2
+FEB8
+01EF
+0222
+00E7
+FB77
+F93E
+F7D7
+F9AD
+FDA3
+006B
+005B
+FF14
+FE5C
+FCBC
+FBD0
+FD55
+0032
+01B6
+00CB
+FF2A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EEBD
+ED19
+E8F7
+EFA4
+F20E
+ED72
+EEB6
+EC64
+FF4D
+FC22
+044C
+029F
+FF88
+02FA
+00D6
+02CB
+01D6
+0099
+FF6F
+FF78
+0070
+0126
+00F1
+0061
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EBB9
+E974
+E769
+E7AE
+E9A0
+EAD8
+EA23
+E8D0
+FE57
+FEFE
+FFF7
+00C7
+0112
+00CD
+003E
+FFD0
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+3DB9
+3E1C
+3E6A
+3E03
+3C8C
+3A40
+37E0
+365E
+FC78
+FCCE
+FD6D
+FE3C
+FF1D
+FFED
+008C
+00E2
+00B9
+006D
+FFE0
+FF27
+FE5F
+FDA7
+FD1A
+FCCD
+027A
+027A
+027A
+027A
+027A
+027A
+027A
+027A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EF92
+EE9F
+EB66
+EB44
+F02D
+F45F
+F746
+FAA7
+03EB
+0208
+FEC9
+FB00
+F77D
+F4C9
+F311
+F243
+02FD
+04AE
+0663
+067D
+04EE
+0301
+01D8
+0180
+FFA2
+001B
+00C7
+0140
+0140
+00C7
+001B
+FFA2
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+05F2
+0651
+0702
+07E9
+08E2
+09C9
+0A7A
+0AD9
+F781
+F879
+FA44
+FC9C
+FF26
+017D
+0349
+0441
+FE0C
+FDEE
+FDDE
+FE12
+FEA8
+FF89
+006D
+00FD
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+FF1E
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FC7F
+FA4B
+F6E5
+F36A
+F043
+ED52
+EAB1
+E907
+F0B0
+EF57
+EF9A
+F21A
+F4A3
+F6D3
+FA26
+FD71
+00C3
+005A
+006B
+017D
+02D9
+033E
+025B
+0144
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+09F5
+0A17
+0A34
+06AE
+00B6
+FC65
+F662
+EEA7
+056E
+0617
+06F7
+076A
+0706
+05DA
+046E
+0378
+0164
+0105
+0054
+FF6D
+FE74
+FD8D
+FCDC
+FC7D
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+FF8F
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+186A
+18D1
+19DE
+1A92
+185F
+117F
+07D5
+00A9
+F95B
+FBCF
+FD91
+FC3B
+F929
+F7D4
+F996
+FC0A
+FE9D
+FD50
+FB69
+F9E7
+F983
+FA4D
+FBA7
+FCA7
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FC58
+FBDD
+FB62
+FB90
+FCC8
+FEDB
+010F
+0279
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+00E2
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+10B7
+0E67
+0B45
+090C
+086E
+08EA
+099C
+0A00
+0044
+0107
+01A0
+0145
+002F
+FF4A
+FF1F
+FF58
+FF6C
+FFC3
+003D
+0094
+0094
+003D
+FFC3
+FF6C
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+09D7
+07CC
+0574
+041C
+030B
+009E
+FCBE
+F99D
+05BB
+0544
+0538
+064C
+0829
+09C7
+0A7F
+0A93
+FD2C
+FCE8
+FC91
+FC69
+FC9A
+FD1F
+FDBD
+FE27
+0153
+0153
+0153
+0153
+0153
+0153
+0153
+0153
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0AA7
+0881
+0482
+FEDD
+F79D
+EF44
+E771
+E296
+0121
+0166
+01C8
+020E
+020E
+01C8
+0166
+0121
+FE5C
+FDE8
+FD5D
+FD3A
+FDD0
+FF07
+0066
+014D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F5FF
+F153
+EC94
+EA95
+E9E8
+E8DA
+E7EE
+E7BB
+0A33
+0922
+06D2
+0394
+008B
+FEED
+FEE9
+FF78
+00BD
+01D3
+02B7
+0252
+00F5
+FFE3
+FFD2
+003B
+0071
+0071
+0071
+0071
+0071
+0071
+0071
+0071
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+1413
+141A
+1355
+10B9
+0BD8
+0572
+FF51
+FB8E
+044D
+05EC
+0838
+09D8
+09D8
+0838
+05EC
+044D
+FD2A
+FC76
+FBAA
+FB98
+FCC4
+FEFF
+0174
+030D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+FECC
+FEB3
+FEB8
+FF25
+001F
+017F
+02DB
+03B4
+FF02
+FE5B
+FD62
+FC92
+FC47
+FC8D
+FD1C
+FD89
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E9F2
+E916
+E82C
+E7EF
+E84C
+E899
+E873
+E822
+02B3
+02C6
+0254
+0112
+FF99
+FEE1
+FF34
+FFD1
+029E
+0222
+0161
+00AE
+004A
+0044
+0078
+00A8
+0071
+0071
+0071
+0071
+0071
+0071
+0071
+0071
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+02A2
+024D
+0020
+FF39
+FF17
+FB56
+F7A2
+F7FC
+F7CA
+F5A4
+F4C4
+F600
+F6B1
+F5FE
+F5E2
+F6C0
+007D
+FE35
+FDBA
+FF56
+FF56
+FDBA
+FE35
+007D
+FFC7
+FF31
+FE50
+FD92
+FD47
+FD7B
+FDF2
+FE4E
+FE67
+FE8D
+FED3
+FF30
+FF94
+FFF0
+0036
+005D
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E84E
+E87C
+E8EE
+E9BD
+EAE9
+EC43
+ED79
+EE31
+FF27
+FF33
+FF2B
+FEE6
+FE50
+FD80
+FCB5
+FC36
+004E
+0061
+0085
+00B3
+00E5
+0113
+0136
+0149
+FFB5
+FFD1
+0006
+004C
+0097
+00DC
+0111
+012D
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F585
+F35F
+F050
+EDBB
+EC24
+EB2F
+EA6C
+E9E6
+F5D4
+F7FF
+FCA7
+013B
+0219
+FFDF
+FE67
+FEB7
+003E
+FCDF
+FC30
+FFC7
+02B3
+0266
+01B7
+023C
+0294
+021A
+016F
+00F6
+00F6
+016F
+021A
+0294
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+009E
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+F685
+F625
+F575
+F48E
+F394
+F2AE
+F1FD
+F19E
+049C
+042E
+039F
+0359
+03A4
+0474
+056D
+0614
+00FB
+00D5
+008E
+0032
+FFCE
+FF72
+FF2B
+FF05
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+1705
+1764
+16F3
+1570
+14B6
+16FD
+1BE7
+200F
+F0B8
+EFF4
+EEC6
+EDB1
+ED1B
+ED1B
+ED75
+EDC6
+0068
+02B4
+05F5
+0842
+0842
+05F5
+02B4
+0068
+06F4
+097B
+053B
+FC19
+FA25
+FFAD
+012B
+FD26
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0B5A
+0B47
+09FF
+0673
+00D2
+FA92
+F576
+F2AF
+1406
+0F13
+0C09
+0E0F
+1078
+0E64
+090E
+04F6
+F7DA
+F3D6
+F1B9
+F62D
+FEF0
+056B
+062C
+0431
+0100
+02B9
+039D
+01EA
+FF1F
+FE5E
+0099
+0345
+000A
+01D1
+0303
+01DB
+FF62
+FE39
+FF6C
+0132
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+FEF0
+0153
+0153
+0153
+0153
+0153
+0153
+0153
+0153
+FBF4
+FD6C
+FFA2
+018C
+0261
+01FE
+00F4
+001F
+E9C2
+E9FB
+EA65
+EAF0
+EB85
+EC10
+EC7A
+ECB3
+FEAF
+FF54
+FFD1
+FF8D
+FEDB
+FE97
+FF15
+FFB9
+0111
+00A8
+000A
+FF85
+FF53
+FF7C
+FFD3
+0016
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EFB7
+EE7D
+EDF8
+EE66
+EE34
+ED69
+EDA8
+EEBC
+01AB
+FFCA
+FF4C
+00CD
+017F
+0086
+0009
+00A1
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+FFBC
+00EF
+FFC8
+FEE6
+FF60
+00A0
+011A
+0038
+FF11
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+ECFF
+ECFF
+ECFF
+ECFF
+ECFF
+ECFF
+ECFF
+ECFF
+FEFB
+FF0E
+FF31
+FF5F
+FF91
+FFBF
+FFE3
+FFF6
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EC1C
+EB5B
+EA2B
+E905
+E849
+E816
+E83D
+E86E
+02CC
+0293
+0229
+019E
+0109
+007E
+0014
+FFDB
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+013D
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+2E96
+30C1
+3425
+375E
+3951
+39B3
+3912
+3865
+FC9E
+FD10
+FDE4
+FEF9
+0025
+013A
+020D
+0280
+FF05
+FF2B
+FF72
+FFCE
+0032
+008E
+00D5
+00FB
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+FEC3
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EBCB
+EC28
+EC46
+EBB4
+EAC2
+EA2F
+EA4D
+EAAA
+00FE
+017C
+01B3
+0113
+FFFD
+FF5D
+FF94
+0012
+FE20
+FF49
+0051
+001A
+FEEF
+FE0B
+FE1D
+FE99
+02CB
+01BC
+0030
+FEF9
+FEAE
+FF5B
+007D
+0153
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EC51
+EC0E
+EC73
+EDF5
+EFA7
+F014
+EEF1
+ED99
+02DC
+FFD1
+FF76
+0262
+0346
+013F
+00E4
+02CD
+FECD
+FFBD
+005A
+FF99
+FDD8
+FC6A
+FC12
+FC55
+002A
+0066
+FFC9
+FDC0
+FB54
+FA3E
+FAF8
+FC26
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+00F1
+001B
+FF11
+FEAF
+FF83
+016E
+03A4
+051C
+FF1E
+FF74
+0013
+00E3
+01C4
+0293
+0332
+0388
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EA06
+E949
+E87A
+E826
+E81F
+E7CB
+E6FC
+E640
+FF67
+FF21
+FEC0
+FE7A
+FE7A
+FEC0
+FF21
+FF67
+FED4
+FF8A
+00B5
+01F2
+02EC
+037C
+03B2
+03BB
+0084
+00FE
+01A9
+0222
+0222
+01A9
+00FE
+0084
+005D
+0036
+FFF0
+FF94
+FF30
+FED3
+FE8D
+FE67
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+EDAC
+EEA0
+EE65
+EF88
+F192
+F067
+EF67
+F196
+041F
+028A
+05ED
+0950
+07BE
+052E
+0026
+F89C
+FDE7
+FF41
+0173
+0130
+FC84
+F85D
+FA90
+FFCD
+FC82
+FE18
+FEF0
+FD93
+FBA8
+FC30
+FFB6
+0331
+FD2A
+FD50
+FD97
+FDF3
+FE57
+FEB3
+FEFA
+FF20
+FCB2
+FBDD
+FAD3
+FA71
+FB45
+FD2F
+FF66
+00DE
+FEF6
+FC38
+FA7B
+FC92
+00C8
+02DE
+0121
+FE63
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+E8AD
+E8DD
+E935
+E9A9
+EA26
+EA99
+EAF1
+EB21
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+35E3
+356C
+348F
+336F
+3237
+3116
+303A
+2FC2
+0108
+00CE
+0064
+FFDA
+FF44
+FEBA
+FE50
+FE16
+FF61
+003B
+014E
+01BC
+00F4
+FF15
+FCE8
+FB75
+027A
+027A
+027A
+027A
+027A
+027A
+027A
+027A
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000
+0000