OSDN Git Service

add upsample+yccrgb+devdrv
[fpga-leon-mjpeg/leon-mjpeg.git] / grlib-gpl-1.0.22-b4095 / software / leon3 / upycc_pic_neek.c
diff --git a/grlib-gpl-1.0.22-b4095/software/leon3/upycc_pic_neek.c b/grlib-gpl-1.0.22-b4095/software/leon3/upycc_pic_neek.c
new file mode 100644 (file)
index 0000000..f547b30
--- /dev/null
@@ -0,0 +1,76 @@
+#include "testmod.h"\r
+#include <stdio.h>\r
+\r
+// You must set the following AHB address according to your system\r
+// This sample address is for NEEK\r
+#define HSADDR 0xb0000000\r
+#define HPADDR 0x80000700\r
+#define SVGAADDR 0x80000b00\r
+\r
+static unsigned int indata[9][1024] = {\r
+{0x31,0x31,0x30,0x30,0x2F,0x2E,0x2D,0x2D,0x33,0x33,0x32,0x32,0x31,0x30,0x2F,0x2F,0x36,0x36,0x35,0x35,0x34,0x33,0x32,0x32,0x39,0x39,0x38,0x37,0x36,0x36,0x35,0x35,0x3B,0x3B,0x3B,0x3A,0x39,0x38,0x38,0x37,0x3E,0x3E,0x3D,0x3D,0x3C,0x3B,0x3A,0x3A,0x41,0x41,0x40,0x3F,0x3F,0x3E,0x3D,0x3D,0x43,0x43,0x42,0x41,0x41,0x40,0x3F,0x3F,0x2E,0x2E,0x2E,0x2E,0x2D,0x2C,0x2B,0x2B,0x30,0x30,0x30,0x2F,0x2F,0x2E,0x2D,0x2D,0x32,0x32,0x32,0x32,0x32,0x31,0x30,0x2F,0x35,0x35,0x35,0x35,0x34,0x33,0x32,0x32,0x37,0x37,0x37,0x37,0x36,0x35,0x35,0x34,0x3A,0x3A,0x3A,0x39,0x39,0x38,0x37,0x37,0x3C,0x3C,0x3C,0x3C,0x3C,0x3B,0x3A,0x39,0x3E,0x3E,0x3E,0x3E,0x3D,0x3D,0x3C,0x3B,0x41,0x41,0x41,0x41,0x41,0x41,0x41,0x41,0x42,0x42,0x42,0x42,0x42,0x43,0x43,0x43,0x43,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x45,0x45,0x45,0x46,0x46,0x44,0x45,0x45,0x45,0x46,0x46,0x47,0x47,0x45,0x45,0x46,0x46,0x47,0x47,0x48,0x48,0x46,0x46,0x47,0x48,0x48,0x49,0x4A,0x4A,0x47,0x48,0x48,0x49,0x4A,0x4A,0x4B,0x4B,0x43,0x42,0x41,0x40,0x40,0x3F,0x3D,0x3B,0x45,0x44,0x43,0x41,0x40,0x3E,0x3D,0x3B,0x44,0x44,0x43,0x41,0x3F,0x3D,0x3D,0x3D,0x44,0x44,0x44,0x43,0x41,0x40,0x41,0x42,0x47,0x47,0x47,0x46,0x44,0x44,0x44,0x45,0x47,0x46,0x45,0x45,0x46,0x45,0x43,0x41,0x47,0x45,0x45,0x48,0x4C,0x4C,0x49,0x45,0x4A,0x48,0x49,0x4F,0x56,0x59,0x56,0x51,0x7D,0x7D,0x7F,0x80,0x81,0x82,0x82,0x82,0x7D,0x7D,0x7E,0x7F,0x80,0x80,0x80,0x80,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x7D,0x7E,0x7D,0x7D,0x7C,0x7B,0x7B,0x7B,0x7B,0x7B,0x7D,0x7C,0x7B,0x79,0x79,0x79,0x7A,0x7B,0x7C,0x7B,0x79,0x78,0x78,0x79,0x7B,0x7D,0x7C,0x7A,0x79,0x78,0x78,0x7A,0x7D,0x7F,0x7B,0x7A,0x78,0x77,0x78,0x7B,0x7E,0x80,0x85,0x85,0x84,0x83,0x81,0x80,0x7F,0x7F,0x85,0x84,0x84,0x83,0x82,0x82,0x81,0x81,0x84,0x84,0x84,0x84,0x84,0x84,0x84,0x84,0x83,0x83,0x83,0x84,0x85,0x85,0x86,0x86,0x82,0x83,0x83,0x84,0x85,0x85,0x86,0x86,0x82,0x82,0x83,0x83,0x84,0x84,0x85,0x85,0x82,0x82,0x82,0x83,0x83,0x83,0x83,0x83,0x82,0x82,0x82,0x82,0x82,0x82,0x82,0x82,0x2B,0x2B,0x2B,0x2B,0x2B,0x2B,0x2B,0x2B,0x2D,0x2D,0x2D,0x2D,0x2D,0x2D,0x2D,0x2D,0x2F,0x2F,0x2F,0x2F,0x2F,0x2F,0x2F,0x2F,0x30,0x30,0x30,0x30,0x30,0x30,0x30,0x30,0x31,0x31,0x31,0x31,0x31,0x31,0x31,0x31,0x34,0x34,0x34,0x34,0x34,0x34,0x34,0x34,0x38,0x38,0x38,0x38,0x38,0x38,0x38,0x38,0x3B,0x3B,0x3B,0x3B,0x3B,0x3B,0x3B,0x3B,0x2E,0x2E,0x2E,0x2E,0x2F,0x2F,0x2F,0x2F,0x2F,0x2F,0x2F,0x2F,0x30,0x30,0x30,0x31,0x30,0x30,0x30,0x31,0x32,0x32,0x32,0x33,0x31,0x31,0x31,0x32,0x33,0x33,0x34,0x34,0x32,0x32,0x32,0x33,0x33,0x34,0x34,0x34,0x33,0x33,0x34,0x34,0x34,0x34,0x34,0x34,0x36,0x36,0x36,0x35,0x35,0x35,0x35,0x35,0x38,0x38,0x37,0x37,0x36,0x36,0x35,0x35,0x3D,0x3D,0x3C,0x3D,0x3E,0x3F,0x3E,0x3D,0x3D,0x3C,0x3C,0x3D,0x3D,0x3D,0x3D,0x3D,0x3C,0x3D,0x3D,0x3D,0x3C,0x3C,0x3C,0x3D,0x3E,0x3F,0x3F,0x3E,0x3D,0x3C,0x3C,0x3D,0x42,0x42,0x41,0x40,0x3F,0x3D,0x3D,0x3D,0x46,0x44,0x42,0x41,0x41,0x40,0x3E,0x3C,0x49,0x45,0x42,0x41,0x42,0x42,0x3E,0x3B,0x4A,0x45,0x41,0x41,0x43,0x43,0x3E,0x3A,0x39,0x38,0x38,0x37,0x36,0x36,0x35,0x35,0x39,0x39,0x38,0x38,0x37,0x36,0x36,0x35,0x3A,0x3A,0x39,0x39,0x38,0x37,0x36,0x36,0x3B,0x3B,0x3A,0x3A,0x39,0x38,0x37,0x37,0x3C,0x3B,0x3B,0x3A,0x39,0x39,0x38,0x38,0x3C,0x3C,0x3B,0x3A,0x39,0x39,0x38,0x38,0x3C,0x3B,0x3B,0x3A,0x39,0x38,0x38,0x38,0x3C,0x3B,0x3B,0x3A,0x39,0x38,0x38,0x37,0x82,0x82,0x81,0x80,0x80,0x7F,0x7E,0x7E,0x80,0x80,0x80,0x7F,0x7F,0x7E,0x7E,0x7D,0x7E,0x7E,0x7E,0x7D,0x7D,0x7D,0x7D,0x7C,0x7B,0x7B,0x7B,0x7C,0x7C,0x7C,0x7C,0x7C,0x79,0x79,0x7A,0x7A,0x7B,0x7B,0x7C,0x7C,0x78,0x78,0x79,0x7A,0x7B,0x7C,0x7C,0x7D,0x77,0x78,0x79,0x7A,0x7B,0x7C,0x7D,0x7E,0x77,0x78,0x79,0x7A,0x7C,0x7D,0x7E,0x7F,0x84,0x84,0x83,0x82,0x82,0x81,0x81,0x80,0x84,0x84,0x83,0x83,0x82,0x81,0x81,0x81,0x85,0x84,0x84,0x83,0x82,0x82,0x81,0x81,0x85,0x85,0x84,0x84,0x83,0x82,0x82,0x82,0x86,0x86,0x85,0x84,0x84,0x83,0x83,0x82,0x86,0x86,0x86,0x85,0x84,0x84,0x83,0x83,0x87,0x87,0x86,0x86,0x85,0x84,0x84,0x83,0x87,0x87,0x86,0x86,0x85,0x84,0x84,0x84,0x2E,0x2E,0x2E,0x2E,0x2E,0x2E,0x2E,0x2E,0x30,0x30,0x30,0x30,0x30,0x2F,0x2F,0x2F,0x33,0x32,0x32,0x32,0x32,0x32,0x32,0x32,0x33,0x34,0x34,0x34,0x35,0x36,0x36,0x36,0x33,0x34,0x35,0x36,0x37,0x39,0x3A,0x3A,0x33,0x34,0x35,0x37,0x39,0x3A,0x3B,0x3C,0x35,0x35,0x36,0x37,0x39,0x3A,0x3B,0x3B,0x36,0x37,0x37,0x38,0x38,0x39,0x39,0x39,0x30,0x31,0x31,0x33,0x34,0x35,0x36,0x36,0x32,0x33,0x33,0x35,0x36,0x37,0x38,0x38,0x35,0x35,0x36,0x37,0x39,0x3A,0x3B,0x3B,0x37,0x38,0x39,0x3A,0x3B,0x3C,0x3D,0x3E,0x39,0x39,0x3A,0x3C,0x3D,0x3E,0x3F,0x3F,0x3B,0x3B,0x3C,0x3D,0x3E,0x40,0x40,0x41,0x3C,0x3D,0x3E,0x3F,0x40,0x41,0x42,0x43,0x3E,0x3E,0x3F,0x40,0x41,0x43,0x43,0x44,0x34,0x34,0x35,0x36,0x37,0x39,0x3B,0x3C,0x36,0x36,0x37,0x37,0x39,0x3B,0x3D,0x3E,0x37,0x37,0x37,0x38,0x3A,0x3C,0x3D,0x3F,0x37,0x37,0x37,0x38,0x39,0x3B,0x3C,0x3E,0x38,0x37,0x37,0x38,0x39,0x3B,0x3C,0x3D,0x39,0x39,0x39,0x39,0x3A,0x3C,0x3D,0x3E,0x39,0x39,0x39,0x39,0x3A,0x3B,0x3D,0x3E,0x38,0x37,0x37,0x37,0x38,0x3A,0x3B,0x3C,0x3C,0x3D,0x3F,0x40,0x42,0x43,0x43,0x43,0x3D,0x3E,0x40,0x41,0x42,0x43,0x43,0x42,0x3F,0x3F,0x41,0x42,0x43,0x43,0x42,0x42,0x40,0x41,0x42,0x43,0x43,0x43,0x42,0x42,0x41,0x41,0x42,0x43,0x43,0x43,0x42,0x42,0x41,0x41,0x42,0x43,0x43,0x43,0x42,0x42,0x40,0x40,0x42,0x43,0x43,0x43,0x42,0x42,0x3F,0x40,0x41,0x42,0x43,0x43,0x42,0x42},\r
+{0x81,0x80,0x7F,0x7E,0x7D,0x7B,0x7A,0x7A,0x80,0x7F,0x7E,0x7D,0x7C,0x7A,0x79,0x79,0x7E,0x7E,0x7D,0x7C,0x7A,0x79,0x78,0x77,0x7D,0x7D,0x7C,0x7A,0x79,0x78,0x77,0x76,0x7C,0x7C,0x7B,0x7A,0x78,0x77,0x76,0x75,0x7C,0x7C,0x7B,0x7A,0x78,0x77,0x76,0x75,0x7D,0x7C,0x7B,0x7A,0x79,0x77,0x76,0x76,0x7D,0x7D,0x7C,0x7A,0x79,0x78,0x77,0x76,0x82,0x82,0x83,0x84,0x85,0x86,0x86,0x87,0x82,0x82,0x83,0x84,0x85,0x86,0x86,0x87,0x82,0x82,0x83,0x84,0x85,0x86,0x87,0x87,0x82,0x83,0x83,0x84,0x85,0x86,0x87,0x87,0x83,0x83,0x84,0x85,0x86,0x87,0x87,0x88,0x83,0x83,0x84,0x85,0x86,0x87,0x88,0x88,0x83,0x84,0x84,0x85,0x86,0x87,0x88,0x88,0x83,0x84,0x84,0x85,0x86,0x87,0x88,0x88,0x37,0x38,0x39,0x39,0x38,0x37,0x35,0x34,0x39,0x3A,0x3A,0x3A,0x3A,0x38,0x37,0x36,0x3C,0x3C,0x3D,0x3D,0x3C,0x3A,0x39,0x38,0x3E,0x3F,0x3E,0x3E,0x3D,0x3C,0x3A,0x3A,0x40,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x3A,0x42,0x41,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x43,0x43,0x41,0x40,0x3F,0x3E,0x3D,0x3C,0x45,0x44,0x42,0x41,0x3F,0x3E,0x3E,0x3D,0x34,0x34,0x33,0x32,0x32,0x33,0x34,0x34,0x35,0x35,0x34,0x33,0x33,0x34,0x35,0x35,0x37,0x36,0x35,0x35,0x35,0x35,0x36,0x37,0x38,0x38,0x37,0x36,0x36,0x37,0x38,0x38,0x3A,0x39,0x38,0x38,0x38,0x38,0x39,0x3A,0x3B,0x3A,0x39,0x39,0x39,0x39,0x3A,0x3B,0x3B,0x3B,0x3A,0x39,0x39,0x3A,0x3B,0x3B,0x3B,0x3B,0x3A,0x39,0x39,0x3A,0x3B,0x3B,0x43,0x43,0x42,0x41,0x40,0x3F,0x3E,0x3D,0x43,0x42,0x41,0x40,0x3F,0x3E,0x3D,0x3C,0x42,0x41,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x41,0x41,0x40,0x3F,0x3E,0x3C,0x3C,0x3B,0x42,0x41,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x41,0x41,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x40,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x3A,0x40,0x3F,0x3E,0x3D,0x3C,0x3B,0x3A,0x39,0x3A,0x39,0x3A,0x3D,0x3C,0x39,0x3A,0x3E,0x3F,0x3E,0x3D,0x3D,0x3C,0x3C,0x3D,0x3F,0x3F,0x3E,0x3C,0x3A,0x3B,0x3E,0x3F,0x3F,0x3B,0x3C,0x3B,0x39,0x3B,0x40,0x42,0x3F,0x3A,0x3C,0x3C,0x3B,0x3D,0x40,0x41,0x3F,0x3B,0x3A,0x3A,0x3B,0x3B,0x3A,0x3C,0x3F,0x3D,0x38,0x38,0x3C,0x3B,0x37,0x3E,0x49,0x41,0x3A,0x3A,0x41,0x40,0x3C,0x47,0x59,0x7C,0x7B,0x7B,0x7B,0x7B,0x7B,0x7C,0x7D,0x7A,0x7A,0x7A,0x7B,0x7B,0x7C,0x7D,0x7D,0x78,0x79,0x79,0x7A,0x7B,0x7C,0x7D,0x7D,0x76,0x77,0x79,0x7A,0x7B,0x7C,0x7C,0x7C,0x76,0x77,0x79,0x7A,0x7B,0x7B,0x7B,0x7A,0x76,0x77,0x79,0x7B,0x7B,0x7A,0x79,0x77,0x77,0x78,0x7A,0x7B,0x7B,0x79,0x76,0x74,0x77,0x79,0x7B,0x7B,0x7A,0x78,0x75,0x73,0x84,0x84,0x85,0x86,0x86,0x86,0x86,0x86,0x85,0x85,0x86,0x86,0x86,0x86,0x85,0x85,0x87,0x87,0x87,0x86,0x85,0x85,0x84,0x84,0x8A,0x89,0x87,0x86,0x85,0x84,0x84,0x84,0x8B,0x89,0x87,0x85,0x84,0x84,0x85,0x85,0x8B,0x89,0x87,0x85,0x84,0x85,0x86,0x87,0x8A,0x88,0x86,0x84,0x84,0x86,0x88,0x8A,0x89,0x88,0x85,0x84,0x84,0x86,0x89,0x8B,0x38,0x35,0x38,0x39,0x35,0x35,0x35,0x31,0x36,0x34,0x36,0x37,0x35,0x36,0x38,0x35,0x3A,0x39,0x38,0x37,0x36,0x36,0x36,0x36,0x3A,0x3C,0x3B,0x3A,0x3C,0x3A,0x38,0x3A,0x35,0x3B,0x3A,0x3B,0x41,0x40,0x3C,0x40,0x37,0x3F,0x3C,0x3B,0x43,0x40,0x3A,0x40,0x3B,0x44,0x3D,0x3A,0x44,0x42,0x3D,0x47,0x37,0x40,0x3A,0x38,0x48,0x4A,0x49,0x57,0x33,0x32,0x33,0x35,0x38,0x3D,0x42,0x45,0x35,0x34,0x34,0x34,0x36,0x39,0x3C,0x3E,0x39,0x39,0x38,0x38,0x39,0x3A,0x3B,0x3C,0x38,0x38,0x38,0x38,0x39,0x3A,0x3B,0x3B,0x3C,0x3C,0x3C,0x3D,0x3D,0x3E,0x3F,0x40,0x3D,0x3C,0x3C,0x3C,0x3C,0x3E,0x3F,0x40,0x3F,0x3F,0x3E,0x3F,0x41,0x43,0x46,0x48,0x58,0x58,0x58,0x5A,0x5D,0x62,0x67,0x6A,0x49,0x3E,0x40,0x55,0x6C,0x7A,0x7E,0x7D,0x3B,0x37,0x54,0x79,0x81,0x84,0x7F,0x6C,0x41,0x42,0x6A,0x87,0x7A,0x74,0x70,0x54,0x4C,0x5C,0x7A,0x80,0x6B,0x67,0x66,0x54,0x44,0x68,0x78,0x6B,0x60,0x5F,0x5C,0x59,0x58,0x75,0x70,0x58,0x54,0x54,0x51,0x57,0x79,0x77,0x66,0x57,0x55,0x55,0x55,0x5B,0x6F,0x55,0x4C,0x54,0x56,0x56,0x59,0x57,0x58,0x5E,0x63,0x65,0x6D,0x75,0x75,0x6F,0x51,0x53,0x55,0x57,0x61,0x6C,0x6E,0x68,0x56,0x55,0x53,0x55,0x60,0x6B,0x6E,0x69,0x54,0x53,0x51,0x51,0x57,0x5F,0x61,0x5F,0x54,0x56,0x57,0x56,0x55,0x55,0x56,0x56,0x5A,0x5E,0x61,0x60,0x5C,0x58,0x57,0x57,0x56,0x59,0x5D,0x5F,0x5C,0x58,0x56,0x56,0x56,0x58,0x5B,0x5F,0x60,0x5D,0x5B,0x5A,0x7A,0x7B,0x7C,0x7D,0x7D,0x7B,0x79,0x78,0x7B,0x7B,0x7C,0x7C,0x7B,0x79,0x77,0x76,0x7B,0x7B,0x7B,0x7A,0x78,0x76,0x74,0x73,0x7A,0x79,0x78,0x76,0x74,0x71,0x6F,0x6E,0x77,0x75,0x73,0x71,0x6E,0x6C,0x6B,0x6A,0x72,0x71,0x6E,0x6B,0x69,0x67,0x67,0x66,0x6D,0x6C,0x69,0x66,0x64,0x64,0x64,0x64,0x6A,0x69,0x66,0x64,0x62,0x62,0x62,0x62,0x84,0x90,0x98,0x91,0x83,0x82,0x92,0xA3,0x91,0x95,0x97,0x92,0x8A,0x87,0x8D,0x94,0x85,0x87,0x88,0x8A,0x8A,0x8A,0x89,0x88,0x7B,0x84,0x8F,0x96,0x98,0x9A,0x9C,0x9F,0x91,0xA3,0xB6,0xBA,0xB3,0xB0,0xB8,0xC2,0xA8,0xBB,0xCB,0xC9,0xB9,0xB0,0xB7,0xC3,0xAE,0xB8,0xC2,0xBF,0xB4,0xAD,0xB0,0xB6,0xB1,0xB4,0xB8,0xBA,0xBB,0xBA,0xBB,0xBB,0x47,0x47,0x47,0x47,0x48,0x49,0x4A,0x4B,0x46,0x47,0x47,0x49,0x4A,0x4A,0x4B,0x4B,0x45,0x46,0x48,0x4A,0x4C,0x4C,0x4C,0x4B,0x44,0x46,0x49,0x4C,0x4D,0x4D,0x4C,0x4C,0x43,0x45,0x49,0x4C,0x4E,0x4E,0x4D,0x4C,0x43,0x45,0x48,0x4C,0x4E,0x4E,0x4E,0x4D,0x42,0x44,0x47,0x4B,0x4D,0x4E,0x4E,0x4E,0x42,0x44,0x47,0x4A,0x4C,0x4E,0x4E,0x4E,0x47,0x4C,0x54,0x5E,0x6B,0x74,0x71,0x6A,0x4A,0x52,0x5E,0x6C,0x7B,0x85,0x85,0x80,0x4B,0x56,0x67,0x79,0x8A,0x95,0x97,0x95,0x4B,0x58,0x6D,0x82,0x92,0x9D,0xA2,0xA2,0x4E,0x5B,0x71,0x88,0x9A,0xA5,0xAC,0xAF,0x4E,0x59,0x6F,0x88,0x9C,0xA9,0xB1,0xB7,0x4C,0x55,0x68,0x82,0x97,0xA6,0xB0,0xB6,0x4E,0x54,0x66,0x7E,0x94,0xA2,0xAD,0xB4},\r
+{0x3F,0x41,0x45,0x47,0x49,0x4A,0x4C,0x4D,0x3F,0x41,0x44,0x47,0x48,0x49,0x4B,0x4C,0x3F,0x41,0x44,0x46,0x47,0x48,0x49,0x4A,0x3F,0x41,0x44,0x46,0x46,0x47,0x48,0x49,0x40,0x42,0x45,0x46,0x47,0x47,0x48,0x49,0x41,0x43,0x45,0x47,0x48,0x48,0x49,0x4A,0x42,0x44,0x46,0x48,0x49,0x4A,0x4B,0x4C,0x42,0x44,0x47,0x49,0x4A,0x4B,0x4C,0x4D,0x4D,0x52,0x63,0x7B,0x8F,0x9D,0xA9,0xB2,0x50,0x52,0x5F,0x76,0x8B,0x9A,0xA6,0xAF,0x52,0x51,0x5A,0x6F,0x86,0x96,0xA2,0xAA,0x51,0x4E,0x56,0x6B,0x84,0x95,0xA1,0xA8,0x4D,0x4B,0x54,0x6B,0x85,0x97,0xA1,0xA7,0x4C,0x4A,0x55,0x6D,0x86,0x97,0xA0,0xA6,0x4F,0x4E,0x57,0x6D,0x84,0x94,0x9E,0xA4,0x52,0x51,0x59,0x6D,0x81,0x90,0x9B,0xA3,0x77,0x74,0x73,0x74,0x7A,0x83,0x8E,0x94,0x79,0x77,0x76,0x78,0x7F,0x89,0x94,0x9B,0x7B,0x7A,0x79,0x7B,0x84,0x90,0x9D,0xA4,0x7B,0x79,0x78,0x7C,0x85,0x93,0xA0,0xA9,0x78,0x76,0x75,0x78,0x82,0x90,0x9F,0xA8,0x78,0x75,0x73,0x75,0x7E,0x8C,0x9B,0xA4,0x7A,0x77,0x74,0x75,0x7C,0x8A,0x98,0xA2,0x7D,0x79,0x75,0x75,0x7C,0x89,0x97,0xA1,0x82,0x83,0x84,0x85,0x83,0x80,0x7D,0x7B,0x83,0x84,0x85,0x85,0x83,0x80,0x7C,0x79,0x85,0x86,0x87,0x86,0x83,0x7F,0x7A,0x77,0x85,0x87,0x88,0x87,0x84,0x7E,0x78,0x75,0x85,0x87,0x88,0x88,0x84,0x7E,0x78,0x73,0x84,0x86,0x88,0x89,0x85,0x7F,0x78,0x73,0x83,0x85,0x88,0x89,0x86,0x80,0x78,0x74,0x81,0x84,0x88,0x89,0x86,0x80,0x79,0x74,0x5F,0x56,0x4B,0x42,0x3F,0x3F,0x3E,0x3D,0x79,0x70,0x63,0x58,0x51,0x4B,0x44,0x3E,0x94,0x8B,0x7F,0x75,0x6C,0x62,0x55,0x4C,0xA2,0x9B,0x92,0x8C,0x87,0x7E,0x72,0x68,0xAC,0xA7,0xA0,0x9D,0x9C,0x97,0x8F,0x88,0xB7,0xB3,0xAF,0xAD,0xAB,0xA9,0xA4,0x9F,0xBC,0xBB,0xB9,0xB8,0xB7,0xB5,0xB2,0xB0,0xBA,0xBB,0xBC,0xBD,0xBD,0xBC,0xBB,0xBB,0x3E,0x3B,0x38,0x38,0x39,0x3A,0x3A,0x39,0x40,0x3D,0x39,0x38,0x38,0x39,0x38,0x37,0x49,0x43,0x3D,0x38,0x37,0x36,0x35,0x33,0x5F,0x57,0x4C,0x42,0x3D,0x3A,0x38,0x37,0x80,0x75,0x65,0x57,0x4D,0x48,0x44,0x42,0x99,0x8E,0x7D,0x6D,0x61,0x58,0x52,0x4E,0xA7,0x9E,0x91,0x82,0x76,0x6A,0x60,0x5A,0xB0,0xAA,0x9F,0x93,0x87,0x7A,0x6E,0x66,0xBA,0xBB,0xBC,0xBE,0xC0,0xC0,0xC1,0xC1,0xB8,0xB9,0xBB,0xBD,0xBE,0xBE,0xBE,0xBE,0xB5,0xB6,0xB8,0xBB,0xBC,0xBB,0xBB,0xBA,0xB2,0xB3,0xB6,0xB8,0xB9,0xB9,0xB8,0xB7,0xAF,0xB1,0xB3,0xB5,0xB6,0xB6,0xB6,0xB5,0xAC,0xAD,0xAF,0xB1,0xB3,0xB4,0xB4,0xB4,0xAA,0xAA,0xAB,0xAD,0xAF,0xB1,0xB2,0xB3,0xA8,0xA8,0xA9,0xAA,0xAC,0xAF,0xB1,0xB3,0xBA,0xB4,0xAB,0xA2,0x97,0x8A,0x7E,0x75,0xB9,0xB4,0xAD,0xA6,0x9E,0x94,0x8A,0x83,0xB7,0xB4,0xAF,0xAA,0xA5,0x9F,0x97,0x92,0xB5,0xB2,0xAE,0xAB,0xA9,0xA5,0xA0,0x9C,0xB4,0xB1,0xAE,0xAD,0xAD,0xAC,0xA9,0xA7,0xB3,0xB1,0xAF,0xAF,0xB2,0xB3,0xB2,0xB0,0xB2,0xB0,0xAE,0xAE,0xB1,0xB2,0xB2,0xB1,0xB2,0xAF,0xAC,0xAB,0xAC,0xAD,0xAD,0xAB,0x8D,0x8C,0x89,0x85,0x81,0x7D,0x7A,0x78,0x99,0x97,0x93,0x8F,0x89,0x84,0x80,0x7E,0xA6,0xA4,0xA0,0x9B,0x95,0x90,0x8C,0x8A,0xAA,0xA9,0xA6,0xA3,0x9F,0x9C,0x99,0x98,0xA6,0xA6,0xA6,0xA5,0xA5,0xA4,0xA4,0xA4,0xA4,0xA4,0xA5,0xA5,0xA6,0xA7,0xA7,0xA8,0xA9,0xA8,0xA8,0xA7,0xA6,0xA6,0xA5,0xA5,0xAF,0xAE,0xAC,0xA9,0xA6,0xA4,0xA2,0xA1,0x7E,0x80,0x82,0x83,0x84,0x83,0x82,0x81,0x7C,0x7D,0x7F,0x81,0x82,0x82,0x81,0x80,0x78,0x79,0x7B,0x7D,0x7E,0x7F,0x7F,0x7F,0x75,0x76,0x78,0x79,0x7B,0x7C,0x7D,0x7D,0x75,0x75,0x76,0x77,0x78,0x7A,0x7B,0x7B,0x77,0x77,0x76,0x76,0x77,0x78,0x79,0x7A,0x7A,0x79,0x78,0x77,0x77,0x77,0x79,0x7A,0x7C,0x7B,0x79,0x77,0x77,0x77,0x78,0x79,0x34,0x35,0x36,0x38,0x3A,0x3B,0x3B,0x3B,0x37,0x38,0x3A,0x3B,0x3D,0x3D,0x3C,0x3B,0x35,0x36,0x38,0x3A,0x3B,0x3C,0x3B,0x3B,0x35,0x35,0x36,0x37,0x38,0x3A,0x3C,0x3E,0x3F,0x3E,0x3C,0x3A,0x38,0x3A,0x3D,0x41,0x4D,0x4B,0x47,0x40,0x3B,0x39,0x3B,0x3E,0x59,0x58,0x53,0x4B,0x42,0x3D,0x3C,0x3D,0x62,0x61,0x5E,0x56,0x4D,0x45,0x43,0x42,0x3C,0x3F,0x42,0x44,0x43,0x43,0x42,0x42,0x3F,0x40,0x41,0x41,0x41,0x41,0x40,0x40,0x41,0x40,0x3F,0x40,0x41,0x41,0x41,0x40,0x3F,0x3F,0x3F,0x41,0x42,0x43,0x42,0x41,0x3E,0x3F,0x40,0x42,0x43,0x42,0x41,0x40,0x3F,0x40,0x42,0x42,0x41,0x40,0x40,0x40,0x3F,0x41,0x43,0x42,0x40,0x41,0x45,0x49,0x3E,0x41,0x43,0x42,0x41,0x44,0x4D,0x55,0x6D,0x69,0x64,0x5F,0x58,0x4E,0x46,0x41,0x77,0x70,0x68,0x61,0x5B,0x53,0x49,0x43,0x88,0x80,0x74,0x6B,0x66,0x5E,0x54,0x4C,0x99,0x92,0x88,0x7E,0x79,0x73,0x6B,0x64,0xA2,0xA0,0x9A,0x93,0x8F,0x8D,0x87,0x80,0xA5,0xA6,0xA3,0xA0,0xA0,0x9F,0x98,0x8E,0xAA,0xA9,0xA5,0xA3,0xA6,0xA4,0x95,0x84,0xB0,0xAB,0xA4,0xA2,0xA5,0xA1,0x8B,0x73,0x36,0x3F,0x45,0x44,0x42,0x4A,0x5F,0x71,0x3A,0x3F,0x45,0x4B,0x51,0x5D,0x6D,0x79,0x4D,0x4C,0x50,0x59,0x66,0x72,0x79,0x7B,0x6B,0x66,0x63,0x69,0x73,0x7A,0x79,0x76,0x7D,0x77,0x72,0x72,0x75,0x77,0x74,0x71,0x7B,0x78,0x74,0x72,0x72,0x72,0x71,0x70,0x71,0x71,0x71,0x71,0x70,0x70,0x70,0x70,0x6D,0x6E,0x6F,0x70,0x71,0x70,0x6F,0x6E,0x77,0x78,0x79,0x7A,0x7A,0x78,0x75,0x74,0x81,0x7E,0x79,0x75,0x74,0x76,0x79,0x7B,0x8E,0x87,0x7D,0x75,0x72,0x76,0x7D,0x82,0x96,0x90,0x87,0x7F,0x7B,0x7B,0x7E,0x81,0x9A,0x98,0x93,0x8D,0x87,0x80,0x7B,0x78,0x9E,0x9C,0x98,0x92,0x88,0x7D,0x74,0x6E,0xA4,0x9F,0x95,0x88,0x7C,0x73,0x6C,0x69,0xAA,0xA0,0x8F,0x7D,0x70,0x69,0x67,0x67,0x7F,0x81,0x84,0x87,0x88,0x88,0x87,0x86,0x86,0x84,0x82,0x81,0x81,0x83,0x86,0x87,0x84,0x83,0x82,0x81,0x81,0x81,0x81,0x82,0x7C,0x7E,0x81,0x84,0x85,0x83,0x81,0x80,0x7D,0x7C,0x7C,0x7D,0x82,0x8A,0x91,0x96,0x84,0x7F,0x79,0x7A,0x85,0x99,0xAF,0xBD,0x7B,0x7D,0x82,0x8D,0x9F,0xB5,0xC8,0xD4,0x69,0x77,0x8F,0xA9,0xBF,0xCD,0xD4,0xD7},\r
+{0x37,0x3B,0x3C,0x3A,0x3A,0x3A,0x38,0x33,0x3F,0x3F,0x3F,0x3D,0x3C,0x3D,0x3C,0x3B,0x44,0x40,0x3C,0x3B,0x39,0x37,0x38,0x3A,0x43,0x3E,0x3B,0x3B,0x38,0x34,0x34,0x37,0x40,0x40,0x43,0x47,0x48,0x46,0x45,0x45,0x43,0x4B,0x54,0x5C,0x61,0x64,0x62,0x5E,0x55,0x62,0x6E,0x73,0x78,0x7D,0x78,0x6F,0x6A,0x7A,0x84,0x84,0x86,0x8A,0x83,0x75,0x3A,0x39,0x3A,0x3A,0x3A,0x3F,0x51,0x63,0x3B,0x3C,0x3C,0x3A,0x3F,0x4A,0x58,0x60,0x38,0x3A,0x39,0x3A,0x46,0x57,0x5D,0x5A,0x38,0x35,0x36,0x40,0x51,0x5D,0x5C,0x55,0x45,0x3C,0x3E,0x4F,0x5E,0x5E,0x57,0x52,0x5C,0x52,0x53,0x61,0x67,0x5D,0x54,0x54,0x6D,0x68,0x67,0x6A,0x67,0x5C,0x57,0x58,0x72,0x73,0x71,0x6B,0x62,0x5B,0x5A,0x5C,0x83,0x8E,0x94,0x94,0x8D,0x88,0x85,0x74,0x86,0x8C,0x8E,0x8A,0x82,0x7C,0x76,0x67,0x80,0x82,0x81,0x7A,0x74,0x6F,0x66,0x5D,0x74,0x74,0x75,0x70,0x6F,0x6D,0x62,0x61,0x70,0x70,0x73,0x6E,0x71,0x70,0x62,0x69,0x70,0x6E,0x73,0x6B,0x6F,0x6D,0x5C,0x6C,0x6F,0x6C,0x71,0x67,0x6B,0x69,0x59,0x72,0x6F,0x6C,0x71,0x66,0x6C,0x6C,0x5E,0x7D,0x6E,0x73,0x71,0x66,0x5E,0x5E,0x5F,0x5D,0x64,0x71,0x74,0x68,0x62,0x65,0x63,0x5B,0x66,0x74,0x77,0x6A,0x65,0x6D,0x6A,0x5D,0x75,0x78,0x72,0x67,0x66,0x6D,0x6A,0x60,0x7F,0x74,0x69,0x66,0x69,0x6A,0x67,0x62,0x7F,0x72,0x6A,0x6E,0x72,0x6E,0x68,0x66,0x79,0x73,0x70,0x72,0x72,0x6E,0x6A,0x69,0x72,0x75,0x73,0x6D,0x69,0x69,0x68,0x67,0x76,0x78,0x79,0x7A,0x79,0x76,0x73,0x71,0x7F,0x80,0x80,0x7F,0x7C,0x78,0x73,0x71,0x8A,0x89,0x87,0x83,0x7D,0x77,0x71,0x6E,0x8D,0x8B,0x87,0x81,0x7A,0x72,0x6C,0x68,0x85,0x82,0x7E,0x78,0x72,0x6B,0x65,0x62,0x76,0x74,0x71,0x6E,0x69,0x65,0x62,0x60,0x68,0x67,0x66,0x65,0x64,0x64,0x63,0x63,0x60,0x60,0x60,0x61,0x62,0x64,0x66,0x66,0x85,0x83,0x7F,0x7E,0x83,0x91,0xA3,0xB0,0x92,0x8B,0x83,0x7E,0x82,0x92,0xA6,0xB4,0x80,0x7F,0x7D,0x7F,0x87,0x95,0xA3,0xAC,0x75,0x7C,0x89,0x99,0xA6,0xAD,0xAE,0xAD,0x9C,0xA2,0xAD,0xBC,0xC7,0xCA,0xC5,0xBE,0xCC,0xC6,0xC1,0xC2,0xC8,0xCC,0xC9,0xC4,0xD5,0xCB,0xC1,0xBF,0xC6,0xCA,0xC8,0xC4,0xCD,0xC7,0xC5,0xCC,0xD7,0xDC,0xD7,0xD0,0x57,0x52,0x51,0x57,0x5A,0x56,0x54,0x56,0x5A,0x57,0x57,0x5B,0x5A,0x55,0x54,0x58,0x5C,0x5C,0x5E,0x60,0x5D,0x58,0x59,0x5D,0x5B,0x5A,0x5D,0x5F,0x5F,0x5C,0x5C,0x60,0x5B,0x59,0x5A,0x5D,0x5F,0x5E,0x5D,0x5C,0x60,0x5E,0x5D,0x5F,0x61,0x61,0x5F,0x5C,0x5E,0x5F,0x5F,0x5F,0x60,0x60,0x5F,0x5D,0x54,0x58,0x5B,0x5A,0x59,0x5A,0x5B,0x5C,0x56,0x59,0x5E,0x61,0x61,0x61,0x60,0x60,0x58,0x5B,0x5F,0x62,0x63,0x64,0x64,0x65,0x57,0x5A,0x5D,0x60,0x62,0x63,0x65,0x67,0x58,0x5A,0x5D,0x5F,0x60,0x63,0x65,0x67,0x5C,0x5D,0x5F,0x61,0x62,0x64,0x67,0x69,0x5C,0x5E,0x60,0x62,0x63,0x65,0x67,0x69,0x5A,0x5D,0x60,0x62,0x64,0x66,0x68,0x6A,0x5C,0x5F,0x63,0x66,0x68,0x6A,0x6C,0x6E,0x61,0x5C,0x5B,0x5E,0x60,0x60,0x61,0x64,0x58,0x56,0x56,0x59,0x5B,0x5E,0x61,0x63,0x5E,0x61,0x62,0x62,0x65,0x6A,0x6E,0x6F,0x66,0x6A,0x6B,0x69,0x6A,0x6E,0x71,0x70,0x62,0x64,0x64,0x62,0x61,0x61,0x60,0x5E,0x69,0x66,0x65,0x67,0x65,0x60,0x5B,0x59,0x71,0x6A,0x6A,0x71,0x71,0x68,0x60,0x5F,0x6C,0x62,0x62,0x6E,0x71,0x65,0x5C,0x5C,0x5E,0x62,0x67,0x6C,0x6F,0x6F,0x6D,0x6C,0x6C,0x6D,0x6D,0x6D,0x6C,0x6A,0x68,0x66,0x70,0x6F,0x6C,0x68,0x66,0x64,0x62,0x62,0x68,0x67,0x65,0x63,0x63,0x63,0x64,0x65,0x61,0x61,0x62,0x63,0x64,0x66,0x68,0x69,0x5E,0x5F,0x60,0x61,0x62,0x64,0x64,0x65,0x5D,0x5D,0x5E,0x5E,0x60,0x62,0x63,0x64,0x5E,0x5E,0x5E,0x5F,0x61,0x65,0x69,0x6B,0x66,0x66,0x66,0x65,0x64,0x64,0x63,0x63,0x66,0x65,0x65,0x64,0x63,0x63,0x62,0x62,0x64,0x64,0x64,0x63,0x62,0x62,0x61,0x61,0x63,0x63,0x63,0x62,0x61,0x61,0x60,0x60,0x63,0x63,0x62,0x62,0x61,0x60,0x60,0x60,0x63,0x63,0x63,0x62,0x61,0x61,0x60,0x60,0x64,0x64,0x63,0x63,0x62,0x61,0x61,0x61,0x65,0x64,0x64,0x63,0x63,0x62,0x61,0x61,0xB8,0xB6,0xB3,0xB3,0xB6,0xBC,0xC3,0xC8,0xB8,0xB7,0xB6,0xB7,0xBB,0xC0,0xC6,0xC9,0xB7,0xB8,0xBB,0xBE,0xC2,0xC6,0xCA,0xCC,0xB8,0xBB,0xBF,0xC4,0xC8,0xCC,0xCE,0xCF,0xBB,0xBE,0xC2,0xC7,0xCC,0xCF,0xD1,0xD2,0xC0,0xC1,0xC3,0xC7,0xCB,0xCF,0xD3,0xD5,0xC5,0xC4,0xC3,0xC4,0xC8,0xCD,0xD3,0xD6,0xC8,0xC6,0xC3,0xC2,0xC5,0xCC,0xD3,0xD7,0x45,0x46,0x47,0x49,0x4A,0x4B,0x4B,0x4B,0x47,0x47,0x48,0x4A,0x4B,0x4C,0x4C,0x4C,0x48,0x49,0x4A,0x4B,0x4C,0x4D,0x4E,0x4E,0x4A,0x4A,0x4B,0x4D,0x4D,0x4E,0x4E,0x4E,0x4B,0x4B,0x4C,0x4D,0x4E,0x4E,0x4E,0x4E,0x4C,0x4D,0x4D,0x4E,0x4F,0x4F,0x4F,0x4F,0x4E,0x4E,0x4F,0x50,0x50,0x50,0x50,0x50,0x4F,0x50,0x50,0x51,0x52,0x52,0x52,0x51,0x4F,0x4F,0x57,0x6A,0x7F,0x8E,0x97,0x9B,0x4E,0x4F,0x59,0x6A,0x7D,0x8B,0x94,0x98,0x4C,0x4F,0x59,0x68,0x78,0x84,0x8D,0x93,0x4D,0x4F,0x56,0x62,0x6E,0x78,0x82,0x8A,0x4F,0x4F,0x53,0x5A,0x62,0x6B,0x76,0x7F,0x51,0x4F,0x50,0x54,0x59,0x60,0x69,0x70,0x52,0x4F,0x4E,0x52,0x55,0x59,0x5E,0x63,0x52,0x4F,0x4F,0x52,0x55,0x56,0x58,0x5A,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x4E,0x4F,0x4F,0x50,0x50,0x51,0x51,0x51,0x53,0x52,0x51,0x51,0x52,0x54,0x57,0x59,0x54,0x53,0x52,0x51,0x51,0x52,0x53,0x54,0x52,0x52,0x51,0x51,0x51,0x51,0x52,0x52,0x4F,0x50,0x51,0x52,0x53,0x53,0x53,0x53,0x51,0x51,0x52,0x53,0x54,0x54,0x54,0x54,0x55,0x55,0x55,0x55,0x54,0x53,0x53,0x52,0x56,0x55,0x55,0x54,0x54,0x53,0x53,0x53,0x54,0x53,0x53,0x53,0x53,0x54,0x55,0x55},\r
+{0x79,0x76,0x75,0x76,0x7D,0x88,0x94,0x9B,0x79,0x77,0x74,0x75,0x7B,0x85,0x90,0x97,0x79,0x77,0x74,0x74,0x79,0x81,0x89,0x8F,0x78,0x76,0x74,0x73,0x76,0x7C,0x82,0x86,0x78,0x76,0x74,0x73,0x74,0x78,0x7C,0x7E,0x77,0x75,0x74,0x73,0x73,0x75,0x77,0x79,0x76,0x75,0x74,0x73,0x73,0x74,0x75,0x76,0x75,0x74,0x74,0x73,0x73,0x74,0x74,0x75,0x82,0x84,0x87,0x87,0x85,0x80,0x7B,0x77,0x84,0x85,0x87,0x88,0x86,0x82,0x7D,0x79,0x86,0x87,0x89,0x89,0x87,0x84,0x80,0x7D,0x88,0x89,0x8A,0x8A,0x88,0x86,0x84,0x82,0x8B,0x8A,0x8A,0x8A,0x89,0x88,0x87,0x86,0x8C,0x8B,0x8A,0x89,0x89,0x89,0x89,0x8A,0x8C,0x8B,0x89,0x88,0x88,0x89,0x8B,0x8C,0x8C,0x8B,0x89,0x88,0x88,0x89,0x8B,0x8D,0x9F,0xA0,0xA1,0xA4,0xA7,0xAA,0xAC,0xAE,0x9B,0x9C,0x9E,0xA1,0xA4,0xA6,0xA8,0xA9,0x94,0x95,0x98,0x9B,0x9D,0x9F,0x9F,0xA0,0x8C,0x8D,0x90,0x93,0x95,0x95,0x96,0x95,0x83,0x85,0x88,0x8B,0x8D,0x8F,0x8F,0x8F,0x79,0x7C,0x7F,0x83,0x87,0x8B,0x8D,0x8E,0x6D,0x6F,0x74,0x7A,0x80,0x86,0x8B,0x8D,0x62,0x65,0x6B,0x72,0x7B,0x83,0x89,0x8D,0xAF,0xAC,0xA9,0xA8,0xA7,0xA5,0xA2,0xA1,0xAB,0xA7,0xA4,0xA2,0x9F,0x9D,0x9A,0x99,0xA3,0xA0,0x9B,0x95,0x90,0x8C,0x89,0x87,0x99,0x96,0x8F,0x86,0x7E,0x7A,0x76,0x72,0x8E,0x8C,0x84,0x7B,0x76,0x73,0x6D,0x68,0x88,0x86,0x7F,0x78,0x78,0x78,0x72,0x69,0x89,0x87,0x80,0x7B,0x7E,0x81,0x79,0x6E,0x8D,0x8A,0x83,0x7F,0x83,0x86,0x7C,0x6E,0x59,0x5E,0x65,0x6B,0x74,0x7F,0x87,0x89,0x57,0x5B,0x60,0x67,0x71,0x7D,0x84,0x85,0x55,0x57,0x5A,0x61,0x6D,0x79,0x7F,0x7F,0x54,0x54,0x55,0x5C,0x6A,0x76,0x7B,0x7A,0x55,0x54,0x54,0x5B,0x68,0x76,0x7B,0x7A,0x56,0x55,0x55,0x5C,0x6B,0x79,0x80,0x7F,0x55,0x56,0x58,0x5F,0x6E,0x7E,0x87,0x88,0x54,0x56,0x59,0x62,0x71,0x82,0x8D,0x8F,0x90,0x8F,0x87,0x80,0x87,0x8F,0x87,0x75,0x8E,0x94,0x94,0x91,0x93,0x94,0x87,0x73,0x89,0x96,0x9F,0x9E,0x9A,0x94,0x84,0x72,0x83,0x94,0xA0,0x9F,0x98,0x8F,0x81,0x74,0x80,0x90,0x9D,0x9D,0x97,0x8F,0x83,0x78,0x84,0x90,0x9B,0x9D,0x9B,0x96,0x8B,0x80,0x91,0x94,0x97,0x98,0x9B,0x9B,0x95,0x8C,0x9C,0x98,0x91,0x8F,0x95,0x9C,0x9B,0x96,0xA4,0xA7,0xA9,0xA9,0xA6,0xA4,0xA5,0xA7,0x9A,0x9D,0xA0,0xA0,0x9D,0x9B,0x9B,0x9C,0x94,0x98,0x9D,0x9E,0x9B,0x97,0x95,0x95,0x94,0x9A,0xA1,0xA3,0x9F,0x99,0x94,0x93,0x8D,0x94,0x9C,0x9F,0x9A,0x91,0x8A,0x86,0x7F,0x87,0x91,0x93,0x8D,0x81,0x76,0x70,0x7B,0x83,0x8D,0x90,0x88,0x7A,0x6D,0x65,0x80,0x89,0x93,0x96,0x8D,0x7D,0x6E,0x66,0x78,0x77,0x75,0x74,0x76,0x79,0x7C,0x7E,0x7B,0x79,0x77,0x76,0x77,0x78,0x7B,0x7C,0x7E,0x7D,0x7B,0x7A,0x7B,0x7D,0x80,0x82,0x80,0x7F,0x7E,0x7F,0x83,0x8A,0x90,0x94,0x82,0x80,0x7F,0x82,0x8B,0x99,0xA6,0xAF,0x86,0x82,0x7F,0x81,0x8E,0xA2,0xB7,0xC5,0x8E,0x86,0x7E,0x7D,0x8A,0xA2,0xBD,0xCE,0x93,0x89,0x7D,0x7A,0x85,0x9F,0xBC,0xCF,0xA1,0xA1,0xA0,0x9E,0x99,0x8D,0x7C,0x6E,0x8C,0x8D,0x8D,0x8C,0x88,0x80,0x74,0x6B,0x7B,0x7C,0x7D,0x7D,0x7B,0x76,0x71,0x6D,0x73,0x74,0x77,0x79,0x77,0x73,0x71,0x72,0x6A,0x6C,0x71,0x75,0x75,0x71,0x71,0x74,0x61,0x62,0x69,0x71,0x74,0x72,0x73,0x78,0x5C,0x5C,0x61,0x6B,0x70,0x70,0x73,0x79,0x5A,0x58,0x5B,0x65,0x6B,0x6B,0x6F,0x76,0x6F,0x6F,0x6F,0x6F,0x6F,0x6F,0x6F,0x6F,0x71,0x71,0x71,0x71,0x71,0x71,0x71,0x71,0x74,0x74,0x74,0x74,0x74,0x74,0x74,0x74,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x75,0x74,0x74,0x74,0x74,0x74,0x74,0x74,0x74,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x73,0x62,0x5C,0x5B,0x64,0x6C,0x6D,0x6E,0x70,0x72,0x6F,0x6D,0x6E,0x6F,0x6F,0x6F,0x71,0x7A,0x7C,0x7B,0x74,0x6E,0x6D,0x6E,0x6F,0x73,0x7B,0x7D,0x75,0x6C,0x6C,0x6E,0x6E,0x6D,0x77,0x7B,0x75,0x6F,0x6F,0x70,0x6F,0x72,0x76,0x78,0x76,0x73,0x72,0x6F,0x6D,0x7F,0x79,0x76,0x77,0x78,0x75,0x70,0x6D,0x8C,0x80,0x77,0x7A,0x7E,0x7A,0x74,0x72,0x73,0x73,0x73,0x75,0x76,0x74,0x6F,0x6B,0x75,0x74,0x75,0x76,0x76,0x73,0x6D,0x68,0x75,0x75,0x75,0x76,0x76,0x71,0x6A,0x65,0x74,0x74,0x75,0x75,0x75,0x70,0x69,0x63,0x71,0x71,0x72,0x73,0x72,0x6E,0x67,0x62,0x6F,0x6E,0x6E,0x6F,0x6F,0x6B,0x66,0x61,0x6E,0x6C,0x6B,0x6B,0x6B,0x68,0x63,0x5F,0x6E,0x6C,0x6A,0x69,0x68,0x66,0x61,0x5D,0x99,0x90,0x81,0x72,0x69,0x66,0x68,0x6A,0x8D,0x85,0x78,0x6C,0x65,0x63,0x65,0x68,0x7D,0x76,0x6D,0x64,0x60,0x60,0x63,0x66,0x70,0x6C,0x66,0x61,0x5F,0x61,0x64,0x67,0x69,0x67,0x64,0x62,0x62,0x64,0x67,0x69,0x68,0x67,0x66,0x65,0x65,0x66,0x67,0x68,0x68,0x68,0x67,0x67,0x66,0x66,0x65,0x65,0x68,0x68,0x68,0x67,0x66,0x64,0x63,0x62,0x7C,0x90,0xAB,0xBF,0xC9,0xCE,0xD5,0xDA,0x8E,0xA9,0xC8,0xD5,0xD1,0xC9,0xCA,0xCF,0x9E,0xBC,0xDD,0xE6,0xDA,0xCB,0xC9,0xCE,0xAA,0xBE,0xD5,0xE0,0xDC,0xD5,0xD4,0xD7,0xC0,0xC1,0xC4,0xCC,0xD5,0xDA,0xD7,0xD3,0xDA,0xCD,0xC2,0xC4,0xCF,0xD4,0xCE,0xC4,0xDF,0xD6,0xCE,0xCD,0xD1,0xD0,0xC8,0xBF,0xD5,0xD6,0xD8,0xD9,0xD8,0xD2,0xCB,0xC5,0x6D,0x66,0x69,0x6B,0x69,0x62,0x66,0x80,0x71,0x69,0x69,0x70,0x67,0x5B,0x6A,0x81,0x75,0x6D,0x69,0x74,0x63,0x54,0x6E,0x7D,0x73,0x71,0x68,0x72,0x5F,0x54,0x74,0x71,0x70,0x72,0x68,0x6D,0x5E,0x5B,0x77,0x67,0x6C,0x6F,0x68,0x67,0x5F,0x62,0x74,0x67,0x69,0x67,0x63,0x61,0x5E,0x60,0x69,0x6F,0x66,0x5E,0x5E,0x5D,0x5C,0x5A,0x5F,0x77,0x6E,0x72,0x70,0x6B,0x6A,0x66,0x63,0x67,0x6F,0x72,0x6F,0x6C,0x6D,0x6A,0x68,0x6C,0x70,0x72,0x6F,0x6C,0x6F,0x6E,0x6C,0x6F,0x6E,0x6F,0x6A,0x69,0x6F,0x6F,0x6C,0x6E,0x67,0x66,0x61,0x62,0x6B,0x6D,0x69,0x6A,0x61,0x60,0x5A,0x5D,0x68,0x6A,0x65,0x64,0x67,0x64,0x5E,0x5F,0x6A,0x6A,0x61,0x5D,0x72,0x6E,0x65,0x65,0x6D,0x6A,0x5E,0x58},\r
+{0x61,0x5C,0x5A,0x5B,0x59,0x59,0x62,0x6F,0x65,0x5F,0x5C,0x5B,0x59,0x59,0x61,0x6C,0x66,0x60,0x5C,0x5A,0x58,0x58,0x5F,0x68,0x63,0x5E,0x5A,0x59,0x58,0x5A,0x60,0x67,0x5F,0x5C,0x59,0x59,0x5C,0x60,0x65,0x69,0x5E,0x5B,0x5A,0x5C,0x61,0x66,0x69,0x6B,0x5D,0x5C,0x5B,0x5E,0x63,0x68,0x69,0x68,0x5C,0x5B,0x5B,0x5D,0x63,0x67,0x66,0x63,0x6E,0x69,0x65,0x68,0x73,0x7A,0x6F,0x5A,0x6A,0x64,0x6E,0x73,0x71,0x7D,0x7C,0x60,0x73,0x6A,0x77,0x78,0x67,0x78,0x87,0x71,0x7B,0x6F,0x72,0x6E,0x60,0x6E,0x88,0x8D,0x70,0x6C,0x66,0x65,0x65,0x67,0x7A,0x98,0x65,0x69,0x63,0x66,0x6E,0x62,0x64,0x80,0x65,0x67,0x66,0x68,0x6B,0x63,0x5F,0x67,0x66,0x62,0x64,0x65,0x63,0x6A,0x6E,0x65,0x67,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x65,0x66,0x66,0x66,0x66,0x65,0x65,0x65,0x65,0x66,0x66,0x66,0x65,0x65,0x64,0x64,0x63,0x66,0x66,0x65,0x65,0x64,0x63,0x62,0x62,0x66,0x66,0x65,0x64,0x63,0x62,0x61,0x61,0x66,0x66,0x65,0x64,0x62,0x61,0x61,0x60,0x66,0x65,0x65,0x63,0x62,0x61,0x60,0x60,0xD0,0xC8,0xC2,0xC5,0xCF,0xD4,0xD0,0xCA,0xCE,0xC6,0xC0,0xC4,0xCD,0xD2,0xCE,0xC7,0xC9,0xC2,0xBD,0xC2,0xCB,0xCF,0xCA,0xC3,0xC5,0xBF,0xBB,0xC1,0xCA,0xCE,0xC8,0xC0,0xC1,0xBC,0xBA,0xC1,0xCC,0xD0,0xC9,0xC1,0xBE,0xBA,0xBB,0xC4,0xD0,0xD4,0xCD,0xC4,0xBC,0xBA,0xBC,0xC7,0xD4,0xD9,0xD2,0xC9,0xBC,0xBA,0xBD,0xC9,0xD7,0xDC,0xD5,0xCC,0x6F,0x63,0x5E,0x65,0x6D,0x6A,0x62,0x5D,0x6B,0x60,0x5C,0x63,0x69,0x67,0x61,0x5E,0x65,0x5E,0x5B,0x60,0x64,0x63,0x60,0x5F,0x62,0x5E,0x5D,0x5F,0x60,0x5F,0x5F,0x60,0x61,0x60,0x60,0x60,0x5F,0x5D,0x5E,0x60,0x61,0x62,0x62,0x62,0x60,0x5E,0x5F,0x61,0x5F,0x61,0x63,0x63,0x61,0x61,0x61,0x61,0x5D,0x60,0x63,0x63,0x62,0x62,0x62,0x62,0x5D,0x5E,0x60,0x62,0x65,0x69,0x6E,0x71,0x5F,0x61,0x63,0x65,0x67,0x6A,0x6D,0x70,0x61,0x63,0x66,0x68,0x69,0x6A,0x6C,0x6D,0x61,0x64,0x67,0x69,0x69,0x69,0x69,0x69,0x61,0x63,0x65,0x67,0x67,0x67,0x67,0x68,0x60,0x62,0x64,0x65,0x65,0x66,0x68,0x6A,0x61,0x62,0x63,0x63,0x65,0x68,0x6C,0x6F,0x63,0x63,0x63,0x63,0x65,0x69,0x6F,0x73,0x5D,0x62,0x63,0x61,0x63,0x68,0x67,0x62,0x66,0x5B,0x5B,0x68,0x6A,0x5F,0x5D,0x66,0x65,0x5D,0x5A,0x5F,0x65,0x65,0x64,0x64,0x77,0x6B,0x5D,0x59,0x5F,0x67,0x67,0x63,0x99,0x7A,0x63,0x63,0x64,0x5D,0x5D,0x67,0x96,0x84,0x72,0x68,0x63,0x61,0x64,0x68,0x74,0x80,0x7E,0x6C,0x62,0x68,0x6C,0x67,0x62,0x71,0x7D,0x79,0x69,0x5E,0x60,0x68,0x64,0x62,0x5B,0x68,0x73,0x72,0x71,0x66,0x5F,0x60,0x62,0x6B,0x72,0x71,0x6C,0x65,0x5D,0x60,0x6B,0x6E,0x6E,0x6D,0x65,0x63,0x60,0x64,0x70,0x6D,0x68,0x69,0x62,0x65,0x62,0x68,0x6E,0x69,0x65,0x67,0x68,0x6C,0x63,0x6C,0x68,0x68,0x68,0x69,0x73,0x6F,0x67,0x74,0x64,0x6C,0x6F,0x6A,0x79,0x68,0x6B,0x7C,0x64,0x72,0x75,0x69,0x79,0x5E,0x63,0x63,0x63,0x63,0x62,0x62,0x62,0x62,0x62,0x62,0x62,0x61,0x61,0x60,0x60,0x60,0x62,0x61,0x61,0x60,0x5F,0x5E,0x5D,0x5D,0x61,0x60,0x60,0x5E,0x5D,0x5C,0x5B,0x5B,0x60,0x60,0x5F,0x5E,0x5D,0x5C,0x5C,0x5B,0x60,0x60,0x60,0x5F,0x5F,0x5F,0x5F,0x5E,0x60,0x60,0x60,0x61,0x61,0x62,0x62,0x62,0x60,0x60,0x61,0x62,0x63,0x64,0x64,0x65,0xC6,0xC4,0xC2,0xC2,0xC5,0xCB,0xD2,0xD6,0xC5,0xC4,0xC2,0xC3,0xC7,0xCD,0xD4,0xD8,0xC4,0xC4,0xC3,0xC5,0xC9,0xD0,0xD7,0xDB,0xC4,0xC4,0xC4,0xC7,0xCC,0xD3,0xDA,0xDE,0xC5,0xC5,0xC6,0xC9,0xCF,0xD5,0xDC,0xE0,0xC7,0xC7,0xC9,0xCC,0xD1,0xD7,0xDD,0xE0,0xC9,0xC9,0xCB,0xCE,0xD3,0xD8,0xDD,0xE0,0xCA,0xCB,0xCC,0xD0,0xD4,0xD9,0xDD,0xE0,0x4D,0x51,0x53,0x52,0x51,0x51,0x52,0x53,0x4E,0x51,0x53,0x51,0x4F,0x4F,0x50,0x51,0x4F,0x50,0x52,0x51,0x50,0x4F,0x50,0x52,0x4F,0x50,0x51,0x52,0x51,0x51,0x54,0x58,0x52,0x50,0x51,0x52,0x52,0x52,0x57,0x5D,0x56,0x52,0x51,0x52,0x53,0x54,0x5B,0x64,0x58,0x53,0x51,0x54,0x56,0x5A,0x64,0x70,0x57,0x52,0x51,0x56,0x5B,0x61,0x6E,0x7C,0x52,0x52,0x52,0x53,0x55,0x58,0x5B,0x5D,0x51,0x54,0x57,0x5B,0x5E,0x60,0x62,0x63,0x54,0x5A,0x62,0x69,0x6C,0x6D,0x6C,0x6C,0x5D,0x65,0x70,0x78,0x7B,0x79,0x77,0x75,0x6A,0x72,0x7D,0x84,0x84,0x81,0x7D,0x7B,0x75,0x7C,0x85,0x88,0x86,0x81,0x7D,0x7C,0x7C,0x81,0x86,0x86,0x81,0x7C,0x7A,0x7A,0x7E,0x82,0x84,0x82,0x7C,0x77,0x76,0x77,0x59,0x51,0x51,0x5E,0x6B,0x72,0x78,0x7E,0x54,0x55,0x5B,0x67,0x70,0x76,0x7B,0x80,0x51,0x5D,0x6A,0x73,0x78,0x7C,0x7F,0x80,0x5A,0x6A,0x78,0x7B,0x7D,0x81,0x80,0x7C,0x69,0x76,0x7F,0x7E,0x7E,0x80,0x7C,0x74,0x74,0x7C,0x7F,0x7B,0x79,0x79,0x74,0x6B,0x77,0x7B,0x7C,0x78,0x73,0x6F,0x6B,0x66,0x75,0x78,0x7A,0x76,0x6E,0x68,0x65,0x64,0x81,0x84,0x82,0x78,0x6D,0x6B,0x75,0x80,0x7F,0x7C,0x76,0x6F,0x6A,0x6E,0x77,0x80,0x7E,0x76,0x6D,0x69,0x6E,0x78,0x83,0x89,0x79,0x71,0x6A,0x6B,0x76,0x84,0x8F,0x95,0x6D,0x6B,0x6B,0x71,0x7C,0x8A,0x96,0x9D,0x68,0x6C,0x72,0x7A,0x84,0x90,0x9A,0xA1,0x6B,0x71,0x7A,0x83,0x8B,0x94,0x9C,0xA2,0x6E,0x73,0x7C,0x85,0x8D,0x95,0x9B,0x9F,0x76,0x76,0x78,0x7A,0x7C,0x7D,0x7E,0x7E,0x70,0x73,0x77,0x7D,0x82,0x86,0x8A,0x8B,0x6D,0x71,0x79,0x82,0x8C,0x94,0x99,0x9C,0x73,0x78,0x80,0x8B,0x95,0x9D,0xA3,0xA6,0x7F,0x84,0x8B,0x94,0x9C,0xA2,0xA6,0xA7,0x8A,0x8E,0x95,0x9C,0xA1,0xA5,0xA6,0xA6,0x8F,0x93,0x9A,0xA0,0xA5,0xA7,0xA7,0xA7,0x90,0x94,0x9B,0xA2,0xA7,0xA9,0xA9,0xA9,0x8A,0x89,0x87,0x85,0x84,0x85,0x86,0x87,0x8B,0x89,0x86,0x83,0x82,0x82,0x83,0x84,0x8B,0x89,0x85,0x81,0x7F,0x7E,0x7E,0x7E,0x8B,0x88,0x83,0x7F,0x7B,0x79,0x79,0x79,0x88,0x85,0x81,0x7D,0x79,0x77,0x76,0x76,0x84,0x82,0x7E,0x7B,0x78,0x77,0x77,0x77,0x81,0x7F,0x7C,0x79,0x78,0x78,0x79,0x79,0x7E,0x7D,0x7A,0x78,0x78,0x79,0x7A,0x7B},\r
+{0x5A,0x5B,0x61,0x6C,0x7B,0x88,0x90,0x93,0x66,0x68,0x6F,0x78,0x83,0x8C,0x92,0x95,0x70,0x74,0x7A,0x81,0x87,0x8D,0x92,0x95,0x76,0x7B,0x80,0x84,0x87,0x8C,0x91,0x95,0x7E,0x82,0x85,0x87,0x89,0x8D,0x94,0x9A,0x82,0x85,0x88,0x8B,0x8F,0x95,0x9E,0xA4,0x80,0x84,0x8B,0x93,0x9C,0xA7,0xB1,0xB8,0x7F,0x85,0x90,0x9E,0xAC,0xBA,0xC6,0xCD,0xA0,0x9C,0x95,0x91,0x97,0x9F,0x9F,0x9A,0x8D,0x95,0x9A,0x97,0x95,0x98,0x9C,0x9D,0x9B,0x9B,0x92,0x83,0x7B,0x81,0x8B,0x91,0x9A,0x99,0x95,0x90,0x8F,0x89,0x78,0x66,0x98,0x9B,0xA2,0xAD,0xB6,0xAE,0x8F,0x72,0xB1,0xB3,0xB4,0xB9,0xC2,0xC6,0xBD,0xB0,0xC2,0xC9,0xCE,0xCE,0xD0,0xD1,0xCC,0xC5,0xD3,0xD8,0xDA,0xD7,0xD5,0xD3,0xCD,0xC6,0x88,0x90,0x9E,0xAD,0xBD,0xCC,0xD7,0xDE,0x94,0x9C,0xA9,0xB8,0xC5,0xD1,0xDA,0xDF,0x9E,0xA7,0xB3,0xC1,0xCC,0xD4,0xDA,0xDD,0xA1,0xA9,0xB6,0xC2,0xCB,0xD0,0xD4,0xD5,0xA4,0xAA,0xB4,0xBD,0xC3,0xC6,0xC6,0xC7,0xA7,0xAC,0xB2,0xB6,0xB8,0xB7,0xB6,0xB6,0xA5,0xA7,0xAA,0xAC,0xAB,0xA9,0xA8,0xA7,0x9E,0xA0,0xA2,0xA2,0xA1,0xA0,0x9F,0x9F,0xDE,0xE4,0xE5,0xDF,0xDA,0xD6,0xCC,0xC1,0xDF,0xE4,0xE3,0xDD,0xD7,0xCF,0xC1,0xB4,0xDE,0xDF,0xDD,0xD7,0xD0,0xC6,0xB5,0xA5,0xD6,0xD4,0xCF,0xCA,0xC6,0xBC,0xAB,0x9C,0xC9,0xC4,0xBD,0xB9,0xB6,0xAE,0x9F,0x92,0xB9,0xB3,0xAC,0xA8,0xA6,0x9E,0x91,0x86,0xA9,0xA4,0xA0,0x9F,0x9C,0x95,0x89,0x80,0x9E,0x9B,0x9A,0x9B,0x9A,0x93,0x88,0x80,0x85,0x8D,0x99,0xA0,0x9C,0x8D,0x7B,0x6F,0x90,0x99,0xA4,0xA9,0xA2,0x90,0x7B,0x6D,0xA0,0xA0,0x9F,0x9A,0x8F,0x81,0x73,0x6A,0xAA,0x9E,0x8B,0x77,0x6A,0x66,0x67,0x69,0xA8,0x9A,0x83,0x6E,0x61,0x60,0x65,0x6A,0xA0,0x9A,0x90,0x85,0x7C,0x77,0x75,0x74,0x9C,0x9B,0x99,0x96,0x92,0x8E,0x8A,0x88,0x9D,0x9B,0x97,0x94,0x93,0x95,0x97,0x99,0x84,0x7F,0x79,0x79,0x82,0x92,0xA4,0xB0,0x7F,0x7C,0x78,0x79,0x80,0x8E,0x9D,0xA6,0x7A,0x79,0x78,0x7A,0x80,0x89,0x93,0x99,0x78,0x79,0x7B,0x7E,0x83,0x87,0x8C,0x8E,0x79,0x7B,0x7F,0x82,0x85,0x87,0x88,0x88,0x7A,0x7C,0x80,0x83,0x85,0x86,0x86,0x86,0x7A,0x7B,0x7E,0x80,0x82,0x83,0x83,0x83,0x78,0x79,0x7B,0x7C,0x7E,0x80,0x81,0x81,0x93,0x7F,0x79,0x7D,0x81,0x7B,0x71,0x73,0x9F,0x98,0x8C,0x7B,0x77,0x7C,0x79,0x75,0x98,0x95,0x93,0x8F,0x86,0x78,0x70,0x6E,0x6F,0x75,0x7C,0x89,0x89,0x7A,0x70,0x68,0x58,0x66,0x60,0x62,0x6F,0x7F,0x84,0x6F,0x7D,0x75,0x5D,0x65,0x71,0x76,0x85,0x7F,0xB1,0x8F,0x72,0x8D,0x8C,0x6C,0x7B,0x8F,0xC1,0xA2,0x85,0x9D,0x94,0x6E,0x81,0x9A,0x6B,0x6A,0x69,0x67,0x67,0x67,0x63,0x5E,0x6A,0x6A,0x69,0x69,0x6A,0x69,0x66,0x63,0x69,0x69,0x6A,0x6A,0x6A,0x67,0x65,0x63,0x6D,0x6D,0x6D,0x6D,0x6B,0x66,0x63,0x63,0x73,0x70,0x6F,0x70,0x6D,0x68,0x65,0x65,0x75,0x6F,0x6B,0x6D,0x6D,0x69,0x66,0x66,0x7A,0x71,0x6A,0x6D,0x6E,0x69,0x66,0x66,0x85,0x78,0x70,0x71,0x72,0x6D,0x68,0x67,0xAC,0x9E,0x85,0x8B,0x7E,0x63,0x7A,0x8D,0xA3,0x99,0x88,0x88,0x7F,0x73,0x81,0x8C,0x94,0x8B,0x86,0x7C,0x79,0x7D,0x7D,0x82,0x8A,0x7A,0x7D,0x6F,0x70,0x7B,0x6F,0x75,0x86,0x6E,0x72,0x67,0x6A,0x74,0x65,0x72,0x7D,0x64,0x67,0x61,0x64,0x6B,0x60,0x70,0x73,0x61,0x61,0x5C,0x5E,0x62,0x5D,0x6A,0x70,0x66,0x63,0x5D,0x5C,0x5F,0x5E,0x66,0x9D,0x8C,0x7A,0x72,0x73,0x72,0x6D,0x66,0xA9,0x9A,0x87,0x7A,0x75,0x74,0x72,0x70,0xA7,0xA1,0x98,0x8E,0x85,0x7E,0x78,0x75,0x8C,0x95,0x9F,0xA2,0x9D,0x92,0x83,0x78,0x6D,0x7C,0x91,0xA0,0xA7,0xA3,0x95,0x88,0x60,0x6C,0x7C,0x8B,0x9A,0xA5,0xA5,0x9F,0x64,0x6C,0x74,0x7B,0x89,0x9A,0xA5,0xA6,0x6B,0x72,0x77,0x79,0x81,0x90,0x9B,0x9E,0x68,0x68,0x67,0x66,0x65,0x64,0x63,0x62,0x68,0x67,0x67,0x66,0x65,0x64,0x63,0x62,0x68,0x68,0x67,0x66,0x65,0x64,0x63,0x62,0x6B,0x6A,0x69,0x68,0x66,0x65,0x64,0x63,0x70,0x6F,0x6E,0x6B,0x69,0x66,0x65,0x64,0x78,0x76,0x74,0x70,0x6C,0x69,0x66,0x65,0x7F,0x7D,0x79,0x75,0x70,0x6B,0x68,0x66,0x83,0x81,0x7D,0x78,0x72,0x6D,0x69,0x66,0xCB,0xCE,0xD3,0xD6,0xD4,0xCE,0xC7,0xC2,0xC0,0xC7,0xD2,0xDA,0xDA,0xD2,0xC7,0xC0,0xAD,0xB9,0xCA,0xD7,0xDC,0xD6,0xCB,0xC3,0x99,0xA4,0xB5,0xC5,0xCF,0xD3,0xD1,0xCF,0x8A,0x90,0x9C,0xAB,0xBB,0xC9,0xD4,0xDA,0x81,0x85,0x8D,0x9A,0xAB,0xBE,0xCE,0xD8,0x7F,0x83,0x8C,0x98,0xA7,0xB5,0xC1,0xC7,0x7F,0x86,0x91,0x9E,0xA9,0xB1,0xB5,0xB7,0x5C,0x5C,0x58,0x66,0x6E,0x5E,0x59,0x65,0x59,0x61,0x5F,0x66,0x74,0x6C,0x5D,0x57,0x55,0x61,0x5D,0x5C,0x73,0x80,0x70,0x60,0x5B,0x60,0x58,0x50,0x6C,0x89,0x83,0x7B,0x65,0x62,0x5B,0x52,0x6A,0x84,0x81,0x88,0x63,0x5F,0x63,0x5E,0x6F,0x7D,0x76,0x86,0x62,0x5E,0x67,0x61,0x6C,0x76,0x6C,0x82,0x6B,0x64,0x69,0x5B,0x63,0x6E,0x65,0x7D,0x5F,0x62,0x65,0x66,0x66,0x67,0x69,0x6A,0x66,0x66,0x69,0x6B,0x6A,0x65,0x64,0x65,0x62,0x5F,0x62,0x6B,0x6C,0x67,0x65,0x69,0x60,0x59,0x5A,0x65,0x6A,0x65,0x65,0x6C,0x70,0x63,0x5C,0x62,0x66,0x62,0x62,0x69,0x81,0x70,0x62,0x62,0x64,0x64,0x66,0x6A,0x8A,0x79,0x67,0x60,0x63,0x67,0x69,0x6A,0x8F,0x7E,0x6A,0x5F,0x60,0x65,0x66,0x63,0x6E,0x67,0x6D,0x5C,0x59,0x61,0x5F,0x66,0x68,0x69,0x74,0x6E,0x63,0x60,0x59,0x51,0x6C,0x6C,0x70,0x73,0x63,0x63,0x69,0x58,0x77,0x72,0x69,0x72,0x62,0x6D,0x7E,0x67,0x82,0x7B,0x6D,0x7A,0x6A,0x77,0x7D,0x60,0x8F,0x87,0x75,0x7D,0x6C,0x7D,0x75,0x5B,0xA1,0x99,0x86,0x82,0x6F,0x82,0x6C,0x5D,0xAC,0xA9,0x9C,0x92,0x7A,0x87,0x61,0x56,0x8C,0x8A,0x7C,0x69,0x61,0x66,0x66,0x60,0x68,0x83,0x90,0x7B,0x5F,0x5A,0x62,0x69,0x55,0x6F,0x80,0x76,0x66,0x63,0x64,0x62,0x5D,0x5E,0x5F,0x66,0x6F,0x72,0x6A,0x60,0x63,0x5C,0x5C,0x66,0x6C,0x6A,0x6A,0x6F,0x61,0x5E,0x62,0x69,0x65,0x5D,0x64,0x72,0x5F,0x5E,0x5F,0x62,0x62,0x61,0x64,0x69,0x5D,0x60,0x5F,0x5C,0x5F,0x68,0x6B,0x68},\r
+{0x66,0x67,0x68,0x67,0x65,0x61,0x5D,0x5A,0x65,0x66,0x67,0x67,0x65,0x62,0x5E,0x5C,0x65,0x66,0x67,0x67,0x66,0x64,0x61,0x5F,0x64,0x65,0x66,0x66,0x66,0x65,0x63,0x62,0x64,0x64,0x65,0x65,0x65,0x64,0x63,0x63,0x64,0x64,0x64,0x64,0x63,0x63,0x62,0x62,0x65,0x64,0x63,0x62,0x61,0x61,0x60,0x60,0x65,0x64,0x63,0x61,0x60,0x5F,0x5F,0x5F,0xBA,0xBD,0xC1,0xC6,0xCB,0xCE,0xD0,0xD1,0xB9,0xB9,0xBB,0xBF,0xC5,0xCB,0xD2,0xD5,0xBD,0xBA,0xB7,0xB7,0xBC,0xC7,0xD2,0xD9,0xC9,0xC2,0xBA,0xB5,0xB8,0xC3,0xD0,0xD8,0xD5,0xCE,0xC3,0xBB,0xBA,0xC1,0xCC,0xD3,0xD8,0xD2,0xC9,0xC2,0xC0,0xC3,0xC9,0xCE,0xCF,0xCD,0xCA,0xC8,0xC7,0xC7,0xC9,0xCA,0xC4,0xC6,0xC8,0xC9,0xCA,0xCA,0xCA,0xC9,0x64,0x65,0x71,0x7D,0x74,0x60,0x5D,0x6A,0x65,0x66,0x6F,0x79,0x74,0x67,0x66,0x6F,0x67,0x67,0x6C,0x72,0x72,0x6E,0x6D,0x70,0x67,0x68,0x6A,0x6C,0x6F,0x70,0x6D,0x6A,0x65,0x68,0x69,0x6A,0x6E,0x71,0x6D,0x65,0x64,0x67,0x69,0x6A,0x6E,0x71,0x6D,0x67,0x66,0x68,0x6B,0x6B,0x6C,0x6C,0x6A,0x68,0x6A,0x6B,0x6C,0x6B,0x68,0x66,0x66,0x66,0x6C,0x7D,0x64,0x70,0x72,0x69,0x80,0x6B,0x66,0x75,0x65,0x6B,0x6C,0x6B,0x84,0x7C,0x62,0x6D,0x68,0x66,0x65,0x6D,0x83,0x89,0x63,0x68,0x6C,0x65,0x63,0x6D,0x79,0x85,0x65,0x65,0x6D,0x67,0x65,0x6D,0x6D,0x76,0x66,0x65,0x6A,0x69,0x69,0x6C,0x68,0x6A,0x67,0x68,0x66,0x69,0x6B,0x68,0x68,0x65,0x6A,0x6C,0x65,0x69,0x6A,0x64,0x68,0x64,0x67,0x74,0x7A,0x6F,0x61,0x5E,0x61,0x64,0x64,0x7D,0x8B,0x7C,0x69,0x64,0x66,0x65,0x63,0x85,0x98,0x89,0x72,0x6C,0x6C,0x68,0x64,0x7D,0x8D,0x83,0x72,0x6B,0x6B,0x69,0x67,0x6D,0x71,0x70,0x6A,0x65,0x66,0x6A,0x6E,0x66,0x63,0x66,0x67,0x65,0x67,0x6D,0x6E,0x69,0x65,0x65,0x66,0x66,0x69,0x6D,0x66,0x6A,0x69,0x64,0x62,0x64,0x66,0x67,0x6A,0x68,0x65,0x64,0x64,0x67,0x6A,0x6C,0x5F,0x5F,0x61,0x63,0x66,0x69,0x6C,0x6E,0x67,0x66,0x65,0x62,0x5F,0x5B,0x58,0x56,0x6D,0x6D,0x6C,0x6B,0x69,0x66,0x64,0x63,0x6C,0x6C,0x6B,0x6B,0x6C,0x6C,0x6D,0x6E,0x6E,0x6C,0x68,0x65,0x62,0x62,0x62,0x62,0x6B,0x6A,0x68,0x66,0x65,0x66,0x67,0x68,0x6A,0x69,0x67,0x65,0x63,0x61,0x60,0x60,0x62,0x62,0x61,0x60,0x62,0x64,0x67,0x69,0x60,0x5F,0x5E,0x5D,0x5E,0x60,0x63,0x64,0x5F,0x5E,0x5C,0x5B,0x5B,0x5C,0x5E,0x60,0x61,0x60,0x5E,0x5C,0x5B,0x5C,0x5D,0x5E,0x65,0x63,0x61,0x5E,0x5E,0x5E,0x60,0x61,0x66,0x64,0x62,0x60,0x5F,0x60,0x62,0x63,0x64,0x62,0x60,0x5F,0x5F,0x60,0x62,0x63,0x61,0x60,0x5E,0x5D,0x5D,0x5E,0x60,0x62,0xD6,0xD1,0xCE,0xD2,0xDA,0xE0,0xDF,0xDB,0xD6,0xD2,0xCF,0xD3,0xDB,0xE0,0xDE,0xDB,0xD7,0xD3,0xD1,0xD5,0xDC,0xE0,0xDD,0xD9,0xD7,0xD3,0xD2,0xD6,0xDD,0xDF,0xDB,0xD5,0xD5,0xD2,0xD1,0xD6,0xDC,0xDD,0xD7,0xD0,0xD2,0xD0,0xCF,0xD4,0xD9,0xD9,0xD2,0xCA,0xCF,0xCD,0xCD,0xD2,0xD7,0xD5,0xCD,0xC4,0xCD,0xCB,0xCB,0xD0,0xD5,0xD3,0xCA,0xC1,0x75,0x72,0x6C,0x65,0x61,0x61,0x64,0x67,0x74,0x6E,0x66,0x5F,0x5E,0x62,0x68,0x6C,0x6E,0x65,0x5B,0x56,0x58,0x61,0x6A,0x70,0x67,0x5F,0x56,0x53,0x5A,0x66,0x70,0x76,0x64,0x5F,0x5A,0x5C,0x65,0x72,0x7C,0x81,0x60,0x5F,0x5F,0x65,0x6F,0x7B,0x85,0x8A,0x5E,0x60,0x64,0x6B,0x75,0x81,0x8B,0x90,0x60,0x63,0x69,0x71,0x7B,0x86,0x90,0x97,0x73,0x79,0x82,0x8A,0x8E,0x92,0x95,0x97,0x75,0x7B,0x84,0x8B,0x8F,0x91,0x94,0x95,0x79,0x7F,0x87,0x8D,0x8F,0x90,0x91,0x93,0x80,0x84,0x8B,0x8E,0x8F,0x8F,0x8F,0x90,0x87,0x8A,0x8E,0x90,0x8E,0x8D,0x8D,0x8D,0x8E,0x90,0x92,0x90,0x8D,0x8B,0x8B,0x8C,0x95,0x95,0x94,0x90,0x8C,0x89,0x89,0x8B,0x98,0x98,0x95,0x90,0x8B,0x88,0x89,0x8A,0x5E,0x62,0x69,0x74,0x80,0x8B,0x95,0x9A,0x58,0x5D,0x65,0x71,0x7E,0x8A,0x94,0x99,0x54,0x58,0x61,0x6C,0x79,0x85,0x8F,0x94,0x56,0x58,0x5E,0x66,0x71,0x7C,0x86,0x8C,0x59,0x59,0x5B,0x60,0x69,0x74,0x7F,0x85,0x5A,0x59,0x59,0x5C,0x63,0x6D,0x77,0x7E,0x5B,0x5A,0x5A,0x5B,0x60,0x67,0x6D,0x72,0x5D,0x5C,0x5C,0x5C,0x5E,0x61,0x65,0x67,0x9B,0x98,0x94,0x8E,0x89,0x86,0x86,0x87,0x9B,0x99,0x95,0x8F,0x8A,0x86,0x85,0x85,0x9C,0x9A,0x97,0x91,0x8B,0x86,0x83,0x82,0x96,0x96,0x93,0x8E,0x87,0x81,0x7C,0x7B,0x8B,0x8C,0x8B,0x87,0x81,0x7A,0x74,0x71,0x81,0x83,0x84,0x82,0x7D,0x75,0x6F,0x6C,0x75,0x78,0x7B,0x7A,0x76,0x6F,0x68,0x65,0x67,0x6B,0x6F,0x70,0x6C,0x65,0x5F,0x5B,0x93,0x96,0x9C,0xA3,0xA8,0xAB,0xAD,0xAD,0x96,0x9A,0xA0,0xA6,0xAA,0xAA,0xA8,0xA6,0x97,0x9D,0xA5,0xAC,0xAE,0xAB,0xA5,0xA1,0x93,0x9B,0xA6,0xAF,0xB2,0xAE,0xA7,0xA2,0x89,0x92,0xA0,0xAC,0xB1,0xAF,0xA9,0xA4,0x7E,0x87,0x94,0xA0,0xA6,0xA6,0xA2,0x9E,0x76,0x7D,0x87,0x91,0x96,0x96,0x92,0x90,0x73,0x78,0x7F,0x86,0x89,0x88,0x85,0x82,0x7E,0x7D,0x7B,0x7A,0x79,0x79,0x7A,0x7A,0x7D,0x7C,0x7B,0x79,0x79,0x79,0x79,0x7A,0x7C,0x7B,0x7A,0x79,0x78,0x78,0x78,0x79,0x7D,0x7C,0x7A,0x79,0x78,0x78,0x79,0x79,0x7E,0x7D,0x7C,0x7B,0x7A,0x7A,0x7A,0x7B,0x81,0x80,0x7F,0x7E,0x7D,0x7D,0x7D,0x7E,0x84,0x83,0x82,0x81,0x80,0x80,0x81,0x81,0x86,0x85,0x84,0x83,0x82,0x82,0x83,0x83,0x97,0x98,0x98,0x99,0x99,0x98,0x97,0x96,0x96,0x96,0x96,0x95,0x94,0x93,0x92,0x92,0x94,0x93,0x92,0x90,0x8F,0x8E,0x8D,0x8D,0x90,0x8F,0x8E,0x8C,0x8B,0x8B,0x8B,0x8B,0x8D,0x8D,0x8C,0x8B,0x8B,0x8B,0x8C,0x8D,0x8C,0x8C,0x8C,0x8C,0x8C,0x8D,0x8E,0x8E,0x8B,0x8C,0x8D,0x8E,0x8E,0x8F,0x8F,0x8F,0x8C,0x8D,0x8E,0x8F,0x8F,0x8F,0x8F,0x8E,0x96,0x95,0x94,0x94,0x92,0x8C,0x83,0x7B,0x92,0x91,0x91,0x91,0x90,0x8A,0x80,0x79,0x8E,0x8D,0x8E,0x8F,0x8D,0x87,0x7D,0x75,0x8E,0x8D,0x8D,0x8D,0x8B,0x84,0x79,0x71,0x90,0x8F,0x8D,0x8C,0x89,0x80,0x75,0x6C,0x91,0x8E,0x8B,0x89,0x84,0x7B,0x6F,0x66,0x8E,0x8B,0x86,0x82,0x7D,0x74,0x69,0x60,0x8B,0x87,0x82,0x7D,0x78,0x6F,0x64,0x5D},\r
+{0x8E,0x90,0x92,0x93,0x92,0x8F,0x8C,0x8A,0x8B,0x8D,0x8F,0x90,0x8F,0x8C,0x88,0x85,0x85,0x87,0x8A,0x8C,0x8B,0x86,0x81,0x7E,0x7D,0x80,0x83,0x84,0x83,0x7E,0x79,0x75,0x74,0x76,0x78,0x79,0x77,0x74,0x6F,0x6C,0x6A,0x6B,0x6B,0x6B,0x69,0x67,0x65,0x63,0x61,0x60,0x5F,0x5D,0x5C,0x5B,0x5B,0x5B,0x5B,0x59,0x57,0x54,0x54,0x54,0x56,0x57,0x88,0x83,0x7C,0x74,0x6D,0x67,0x62,0x5E,0x81,0x7C,0x73,0x6B,0x65,0x61,0x5E,0x5C,0x78,0x72,0x69,0x62,0x5D,0x5C,0x5B,0x5B,0x6E,0x69,0x63,0x5E,0x5D,0x5D,0x5E,0x5E,0x64,0x62,0x60,0x5F,0x5F,0x60,0x61,0x61,0x5E,0x5D,0x5D,0x5F,0x60,0x61,0x61,0x60,0x5C,0x5C,0x5C,0x5D,0x5F,0x60,0x60,0x5F,0x5E,0x5D,0x5C,0x5D,0x5E,0x5F,0x5F,0x5E,0xA0,0xA1,0xA3,0xA3,0xA0,0x9A,0x93,0x8F,0xA2,0xA4,0xA6,0xA5,0xA1,0x99,0x90,0x8A,0xA3,0xA6,0xA8,0xA7,0xA0,0x96,0x8A,0x83,0xA3,0xA5,0xA6,0xA4,0x9C,0x90,0x84,0x7C,0x9E,0x9F,0x9E,0x9B,0x93,0x88,0x7E,0x77,0x96,0x95,0x92,0x8D,0x86,0x7F,0x79,0x76,0x8D,0x8A,0x84,0x7F,0x7A,0x77,0x76,0x76,0x88,0x83,0x7C,0x76,0x72,0x73,0x75,0x77,0x7C,0x7D,0x7D,0x7E,0x7F,0x7F,0x80,0x80,0x7B,0x7B,0x7C,0x7E,0x7F,0x80,0x81,0x81,0x79,0x7A,0x7B,0x7D,0x7F,0x81,0x83,0x83,0x78,0x79,0x7B,0x7D,0x80,0x83,0x84,0x85,0x79,0x7A,0x7C,0x7F,0x82,0x84,0x86,0x87,0x7B,0x7C,0x7E,0x81,0x83,0x86,0x88,0x89,0x7E,0x7F,0x81,0x83,0x85,0x87,0x89,0x8A,0x80,0x81,0x83,0x84,0x86,0x88,0x89,0x8A,0x6D,0x66,0x60,0x5D,0x5C,0x5C,0x5E,0x61,0x6B,0x65,0x5F,0x5D,0x5C,0x5B,0x5C,0x5E,0x67,0x62,0x5E,0x5D,0x5C,0x5B,0x5B,0x5C,0x63,0x5F,0x5D,0x5E,0x5E,0x5C,0x5C,0x5D,0x60,0x5D,0x5C,0x5E,0x5F,0x5F,0x5F,0x60,0x5F,0x5C,0x5C,0x5E,0x60,0x60,0x60,0x62,0x60,0x5D,0x5C,0x5E,0x60,0x5F,0x60,0x61,0x61,0x5D,0x5C,0x5D,0x5E,0x5E,0x5E,0x60,0x71,0x7B,0x7C,0x7C,0x85,0x8F,0x92,0x94,0x67,0x75,0x7C,0x80,0x89,0x91,0x93,0x95,0x60,0x70,0x7A,0x7E,0x87,0x8D,0x90,0x94,0x64,0x72,0x7A,0x7C,0x82,0x87,0x8D,0x95,0x65,0x72,0x7A,0x7D,0x82,0x85,0x8B,0x95,0x5C,0x6A,0x75,0x7B,0x80,0x82,0x85,0x8E,0x59,0x65,0x6E,0x74,0x7B,0x7D,0x80,0x8A,0x60,0x68,0x6C,0x71,0x78,0x7B,0x81,0x8C,0x5D,0x5D,0x5D,0x5E,0x5E,0x5E,0x60,0x62,0x5E,0x5D,0x5E,0x5E,0x5E,0x5F,0x60,0x62,0x5F,0x5E,0x5E,0x5E,0x5F,0x60,0x61,0x62,0x60,0x60,0x5F,0x5E,0x5F,0x62,0x64,0x63,0x60,0x61,0x60,0x5E,0x61,0x66,0x67,0x65,0x61,0x62,0x60,0x5F,0x62,0x69,0x6B,0x68,0x61,0x63,0x61,0x5F,0x64,0x6D,0x6F,0x6B,0x61,0x63,0x62,0x5F,0x65,0x6F,0x71,0x6C,0x63,0x5F,0x60,0x6B,0x78,0x80,0x82,0x82,0x5D,0x5D,0x63,0x6F,0x7A,0x7D,0x79,0x75,0x5F,0x60,0x65,0x6F,0x75,0x72,0x6C,0x68,0x6B,0x67,0x65,0x67,0x68,0x66,0x63,0x63,0x6F,0x68,0x61,0x60,0x60,0x60,0x62,0x64,0x6A,0x63,0x5D,0x5D,0x61,0x63,0x64,0x66,0x66,0x5E,0x59,0x5C,0x62,0x65,0x65,0x65,0x68,0x5E,0x58,0x5A,0x61,0x65,0x65,0x64,0x7D,0x7B,0x77,0x73,0x6F,0x6D,0x6C,0x6B,0x7C,0x7A,0x76,0x72,0x6F,0x6D,0x6C,0x6B,0x79,0x78,0x75,0x71,0x6F,0x6D,0x6B,0x6B,0x77,0x76,0x73,0x71,0x6E,0x6C,0x6A,0x6A,0x75,0x74,0x73,0x70,0x6D,0x6B,0x68,0x67,0x75,0x74,0x73,0x70,0x6C,0x69,0x65,0x63,0x75,0x75,0x73,0x70,0x6C,0x67,0x63,0x60,0x76,0x75,0x73,0x70,0x6B,0x66,0x61,0x5E,0x8F,0x85,0x82,0x92,0xAE,0xBF,0xBD,0xB4,0x96,0x85,0x7B,0x8B,0xAD,0xC3,0xC2,0xB6,0x92,0x86,0x7E,0x89,0xA2,0xB9,0xC4,0xC5,0x83,0x88,0x8B,0x8C,0x92,0xA5,0xC2,0xD8,0x7E,0x86,0x8D,0x8D,0x8F,0xA0,0xC1,0xDB,0x86,0x86,0x88,0x8E,0x9C,0xB0,0xC4,0xD1,0x8A,0x8A,0x8F,0x9A,0xAB,0xBC,0xC9,0xCF,0x86,0x90,0x9E,0xA9,0xB1,0xBC,0xCB,0xD7,0xA8,0xAF,0xA6,0xAB,0x91,0x8C,0x60,0x5C,0x9D,0x9F,0xA0,0xAE,0xA2,0x92,0x65,0x5D,0x95,0x92,0x9C,0xAB,0xAC,0x92,0x67,0x5D,0x99,0x94,0x9E,0x9B,0x9E,0x82,0x66,0x5C,0x9E,0x9A,0x9D,0x82,0x80,0x6E,0x64,0x61,0x9A,0x95,0x8F,0x6D,0x69,0x63,0x64,0x68,0x8F,0x84,0x7A,0x63,0x65,0x66,0x64,0x6B,0x86,0x75,0x6A,0x62,0x6A,0x6C,0x63,0x6A,0x60,0x5F,0x5E,0x5E,0x5F,0x61,0x64,0x65,0x61,0x60,0x60,0x5F,0x60,0x61,0x62,0x63,0x61,0x61,0x61,0x61,0x61,0x62,0x62,0x62,0x60,0x61,0x62,0x63,0x63,0x63,0x63,0x62,0x60,0x61,0x62,0x64,0x65,0x65,0x64,0x64,0x60,0x61,0x63,0x64,0x65,0x66,0x66,0x66,0x62,0x63,0x63,0x64,0x65,0x66,0x66,0x66,0x64,0x64,0x64,0x64,0x65,0x65,0x65,0x65,0x6D,0x6B,0x69,0x69,0x6A,0x69,0x66,0x64,0x66,0x67,0x68,0x68,0x68,0x67,0x67,0x68,0x63,0x66,0x68,0x68,0x66,0x65,0x67,0x69,0x67,0x68,0x69,0x69,0x67,0x65,0x65,0x66,0x6A,0x69,0x68,0x67,0x67,0x67,0x64,0x62,0x68,0x66,0x64,0x65,0x67,0x67,0x65,0x62,0x66,0x66,0x66,0x66,0x67,0x67,0x66,0x65,0x67,0x69,0x6A,0x69,0x67,0x65,0x66,0x67,0x63,0x63,0x64,0x65,0x66,0x66,0x67,0x67,0x63,0x64,0x64,0x65,0x65,0x66,0x67,0x67,0x64,0x64,0x64,0x65,0x65,0x66,0x66,0x67,0x64,0x64,0x64,0x65,0x65,0x66,0x66,0x66,0x64,0x64,0x65,0x65,0x65,0x66,0x66,0x66,0x65,0x65,0x65,0x65,0x65,0x65,0x66,0x66,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x65,0x6C,0x69,0x66,0x63,0x60,0x60,0x60,0x61,0x6A,0x68,0x65,0x62,0x60,0x5F,0x5F,0x60,0x68,0x66,0x63,0x60,0x5E,0x5E,0x5E,0x5F,0x65,0x63,0x60,0x5E,0x5D,0x5D,0x5E,0x5F,0x63,0x61,0x5F,0x5D,0x5C,0x5C,0x5E,0x5F,0x61,0x60,0x5E,0x5C,0x5C,0x5D,0x5E,0x60,0x61,0x60,0x5E,0x5C,0x5C,0x5D,0x5F,0x61,0x61,0x5F,0x5E,0x5C,0x5C,0x5E,0x60,0x61,0xB7,0xBC,0xC1,0xC4,0xC4,0xC4,0xC6,0xC9,0xBC,0xC0,0xC5,0xC7,0xC6,0xC6,0xC9,0xCB,0xC3,0xC6,0xCA,0xCB,0xCA,0xCA,0xCC,0xCF,0xCA,0xCD,0xD0,0xD0,0xCE,0xCD,0xCF,0xD2,0xD0,0xD2,0xD3,0xD2,0xCF,0xCE,0xD1,0xD3,0xD3,0xD5,0xD5,0xD2,0xCF,0xCD,0xD0,0xD2,0xD4,0xD5,0xD5,0xD1,0xCD,0xCB,0xCD,0xD0,0xD4,0xD5,0xD4,0xD0,0xCC,0xCA,0xCC,0xCF}};\r
+\r
+struct yrsreg {\r
+  volatile unsigned int fbadd;\r
+  volatile unsigned int size_info;\r
+  volatile unsigned int incadd;\r
+  volatile unsigned int reset;\r
+}\r
+\r
+  upycc_pic_neek(){\r
+    struct yrsreg *sreg = (struct yrsreg *) HPADDR;\r
+    volatile unsigned int *dataad = (unsigned int *)HSADDR;\r
+    unsigned int rdata;\r
+    volatile unsigned int *raddr; \r
+    int i,j,k;\r
+    unsigned int *allocadd;\r
+    volatile unsigned int *svaddr = (unsigned int *)SVGAADDR;\r
+\r
+    allocadd = (unsigned int *)memalign(1024, 2*800*480);\r
+    if (allocadd == NULL) fail(0);\r
+\r
+    sreg->fbadd = (unsigned int) allocadd;\r
+    sreg->size_info = 0x000825A4;\r
+    sreg->incadd    = 0x0624a244;\r
+    sreg->reset     = 0x00000000;\r
+    sreg->reset     = 0xffffffff;\r
+\r
+    /* For checking data*/\r
+\r
+    *(svaddr + 1)  = 0x01df027f;\r
+    *(svaddr + 2)  = 0x000b0010;\r
+    *(svaddr + 3)  = 0x00020060;\r
+    *(svaddr + 4)  = 0x020b031f;\r
+    *(svaddr + 5)  = (unsigned int) allocadd;\r
+    *(svaddr + 6)  = 0x00009c40;\r
+    *(svaddr + 7)  = 0x000061a8;\r
+    *(svaddr + 8)  = 0x00004e20;\r
+    *(svaddr + 9)  = 0x00003c19;\r
+    *(svaddr + 10) = 0x00000000;\r
+\r
+    *svaddr = 0x00000021;\r
+    for(i=0;i<480;i++){\r
+      for(j=0;j<400;j++){\r
+       *(allocadd + i*320 +j) = 0x0;\r
+      }\r
+    }\r
+    for(i=0;i<9;i++){\r
+      for(j=0;j<1024;j++){\r
+        *dataad = indata[i][j];\r
+       //      for(k=0;k<100000;k++);\r
+      }\r
+    }\r
+  }\r
+\r
+\r
+\r
\r
+\r