OSDN Git Service

sdram controller OK!!
[motonesfpga/motonesfpga.git] / tools / qt_proj_test5 / sdram-test_auto_stripped.stp
index 7256687..699ecf3 100644 (file)
@@ -1,10 +1,10 @@
 <session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C(15|20) (0x020B30DD)" sof_file="">\r
   <display_tree gui_logging_enabled="0">\r
-    <display_branch instance="auto_signaltap_0" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
+    <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
   </display_tree>\r
   <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
-    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/02/14 15:24:11  #0">\r
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/02/14 19:02:01  #0">\r
       <clock name="dbg_mem_clk" polarity="posedge" tap_mode="classic"/>\r
       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="1024" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
           <wire name="dram_addr[9]" tap_mode="classic"/>\r
           <wire name="dram_bank[0]" tap_mode="classic"/>\r
           <wire name="dram_bank[1]" tap_mode="classic"/>\r
+          <wire name="green_led" tap_mode="classic"/>\r
+          <wire name="red_led" tap_mode="classic"/>\r
           <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[0]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[10]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[11]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[12]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[13]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[14]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[15]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[16]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[17]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[18]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[19]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[1]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[20]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[21]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[2]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[3]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[4]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[5]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[6]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[7]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[8]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[9]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[0]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[1]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[2]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[3]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[4]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[5]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[6]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[7]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[8]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cmd_ack" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cmd_done" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_r" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[0]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[1]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[2]" tap_mode="classic"/>\r
           <wire name="green_led" tap_mode="classic"/>\r
           <wire name="red_led" tap_mode="classic"/>\r
           <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[0]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[10]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[11]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[12]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[13]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[14]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[15]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[16]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[17]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[18]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[19]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[1]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[20]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[21]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[2]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[3]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[4]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[5]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[6]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[7]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[8]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[9]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[0]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[1]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[2]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[3]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[4]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[5]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[6]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[7]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[8]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|clk_i" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cmd_ack" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cmd_done" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_r" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[0]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[1]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[2]" tap_mode="classic"/>\r
           <wire name="green_led" tap_mode="classic"/>\r
           <wire name="red_led" tap_mode="classic"/>\r
           <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[0]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[10]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[11]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[12]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[13]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[14]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[15]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[16]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[17]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[18]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[19]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[1]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[20]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[21]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[2]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[3]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[4]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[5]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[6]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[7]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[8]" tap_mode="classic"/>\r
+          <wire name="sdram_controller:sdr_ctrl|address_r[9]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[0]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[1]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[2]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[3]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[4]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[5]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[6]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[7]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|blen[8]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|clk_i" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cmd_ack" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cmd_done" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_r" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[0]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[1]" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|cur_st_o[2]" tap_mode="classic"/>\r
       </signal_vec>\r
       <presentation>\r
         <unified_setup_data_view>\r
-          <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="38" tap_mode="classic" type="output pin"/>\r
-          <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="39" tap_mode="classic" type="output pin"/>\r
-          <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="14" type="input pin"/>\r
-          <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="41" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
+            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+          </node>\r
           <node name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="135" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="112" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-          </node>\r
-          <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="146" tap_mode="classic" trigger_index="25" type="combinatorial"/>\r
-          <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="103" tap_mode="classic" trigger_index="22" type="combinatorial"/>\r
-          <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="137" tap_mode="classic" trigger_index="24" type="combinatorial"/>\r
-          <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="136" tap_mode="classic" trigger_index="23" type="combinatorial"/>\r
-          <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="80" tap_mode="classic" trigger_index="21" type="combinatorial"/>\r
-          <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="42" tap_mode="classic" trigger_index="15" type="combinatorial"/>\r
-          <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="43" tap_mode="classic" trigger_index="16" type="combinatorial"/>\r
-          <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="147" tap_mode="classic" trigger_index="26" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="54" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="53" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="52" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="51" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="50" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="49" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="63" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="62" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="61" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="60" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="59" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="58" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="57" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="55" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="48" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="70" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="69" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="68" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="67" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="79" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="78" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="77" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="76" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="75" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="74" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="71" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="64" tap_mode="classic" type="combinatorial"/>\r
+          <node name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
+            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </node>\r
-          <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="47" tap_mode="classic" trigger_index="20" type="combinatorial"/>\r
-            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="46" tap_mode="classic" trigger_index="19" type="combinatorial"/>\r
-            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="45" tap_mode="classic" trigger_index="18" type="combinatorial"/>\r
-            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="44" tap_mode="classic" trigger_index="17" type="combinatorial"/>\r
-          </node>\r
-          <node name="dram_addr" order="msb_to_lsb" type="output pin">\r
+          <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <node name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
             <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
             <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
             <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
             <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
             <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </node>\r
-          <node name="dram_bank" order="msb_to_lsb" type="output pin">\r
-            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
-          </node>\r
-          <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
           <node name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
             <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
             <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
             <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
             <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </node>\r
+          <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
+            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          </node>\r
+          <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
+            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+          </node>\r
+          <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <node name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
+            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+          </node>\r
+          <node name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
+            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+          </node>\r
+          <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
           <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
           <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
           <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
           <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </unified_setup_data_view>\r
         <data_view>\r
-          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="38" tap_mode="classic" type="output pin"/>\r
-          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="39" tap_mode="classic" type="output pin"/>\r
-          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="14" type="input pin"/>\r
-          <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="41" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+          </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="135" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="112" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="146" tap_mode="classic" trigger_index="25" type="combinatorial"/>\r
-          <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-          </bus>\r
-          <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="103" tap_mode="classic" trigger_index="22" type="combinatorial"/>\r
-          <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="137" tap_mode="classic" trigger_index="24" type="combinatorial"/>\r
-          <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="136" tap_mode="classic" trigger_index="23" type="combinatorial"/>\r
-          <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="80" tap_mode="classic" trigger_index="21" type="combinatorial"/>\r
-          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="42" tap_mode="classic" trigger_index="15" type="combinatorial"/>\r
-          <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="43" tap_mode="classic" trigger_index="16" type="combinatorial"/>\r
-          <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="147" tap_mode="classic" trigger_index="26" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="54" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="53" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="52" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="51" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="50" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="49" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="63" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="62" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="61" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="60" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="59" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="58" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="57" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="55" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="48" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="70" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="69" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="68" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="67" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="79" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="78" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="77" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="76" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="75" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="74" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="71" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="64" tap_mode="classic" type="combinatorial"/>\r
-          </bus>\r
-          <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="47" tap_mode="classic" trigger_index="20" type="combinatorial"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="46" tap_mode="classic" trigger_index="19" type="combinatorial"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="45" tap_mode="classic" trigger_index="18" type="combinatorial"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="44" tap_mode="classic" trigger_index="17" type="combinatorial"/>\r
+          <bus name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </bus>\r
-          <bus name="dram_addr" order="msb_to_lsb" type="output pin">\r
+          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <bus name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
             <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
             <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
             <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
             <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </bus>\r
-          <bus name="dram_bank" order="msb_to_lsb" type="output pin">\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
-          </bus>\r
-          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
           <bus name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </bus>\r
+          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          </bus>\r
+          <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+          </bus>\r
+          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+          </bus>\r
+          <bus name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+          </bus>\r
+          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
           <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
           <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
           <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
           <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </data_view>\r
         <setup_view>\r
-          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="38" tap_mode="classic" type="output pin"/>\r
-          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="39" tap_mode="classic" type="output pin"/>\r
-          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="14" type="input pin"/>\r
-          <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="41" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+          </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="135" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="112" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-          </bus>\r
-          <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="146" tap_mode="classic" trigger_index="25" type="combinatorial"/>\r
-          <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="103" tap_mode="classic" trigger_index="22" type="combinatorial"/>\r
-          <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="137" tap_mode="classic" trigger_index="24" type="combinatorial"/>\r
-          <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="136" tap_mode="classic" trigger_index="23" type="combinatorial"/>\r
-          <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="80" tap_mode="classic" trigger_index="21" type="combinatorial"/>\r
-          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="42" tap_mode="classic" trigger_index="15" type="combinatorial"/>\r
-          <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="43" tap_mode="classic" trigger_index="16" type="combinatorial"/>\r
-          <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="147" tap_mode="classic" trigger_index="26" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="54" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="53" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="52" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="51" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="50" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="49" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="63" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="62" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="61" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="60" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="59" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="58" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="57" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="55" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="48" tap_mode="classic" type="combinatorial"/>\r
-          </bus>\r
-          <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="70" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="69" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="68" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="67" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="79" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="78" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="77" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="76" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="75" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="74" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="71" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="64" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="47" tap_mode="classic" trigger_index="20" type="combinatorial"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="46" tap_mode="classic" trigger_index="19" type="combinatorial"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="45" tap_mode="classic" trigger_index="18" type="combinatorial"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="44" tap_mode="classic" trigger_index="17" type="combinatorial"/>\r
+          <bus name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </bus>\r
-          <bus name="dram_addr" order="msb_to_lsb" type="output pin">\r
+          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <bus name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
             <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
             <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
             <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
             <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
             <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </bus>\r
-          <bus name="dram_bank" order="msb_to_lsb" type="output pin">\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
-          </bus>\r
-          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
           <bus name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
             <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
             <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
             <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
             <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </bus>\r
+          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          </bus>\r
+          <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+          </bus>\r
+          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+          </bus>\r
+          <bus name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+          </bus>\r
+          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
           <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
           <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
           <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="2AC89867" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/02/14 15:24:11  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/02/14 19:09:31  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
           <level enabled="yes" name="condition1" type="basic">'sdram_rw:sdr_rw|wbm_we_i' == falling edge\r
           </level>\r
         </events>\r
         <storage_qualifier_events>\r
-          <transitional>1111111111111100000000000000000000000000101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
-            <pwr_up_transitional>1111111111111100000000000000000000000000101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
+          <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
+            <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
           </transitional>\r
           <storage_qualifier_level type="basic">\r
             <power_up>\r
             <op_node/>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
+        <log>\r
+          <data global_temp="1" name="log: 2014/02/14 19:28:11  #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011100110110000000110111111111111111110100010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111100010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000010000000000000000000000100000000000000000000000000000000011110000011000000000000000110111111111111111101000010000000000000000000000011000000100111000000000100000000100000000000000010000000000000000000000100000000000000001000000000000000011110000011000000000000001110000000000000000001010010000000000000000000000101000000100111001000000100000000000000010000000010000000000000000000000100000000000000000000000100000000011110000011000000000000001110000000000000000001010010000000000000000000000001000000100111000000000010000000100000010000000010000000000000000000000100000000000000001000000100000000011110000011000000000000001110000000010000000001010010000000000000000000000110000000100111001000000010000000000000001000000010000000000000000000000100000000000000000000000010000000011110000011000000000000001110000000010000000001010010000000000000000000000010000000100111000000000110000000100000001000000010000000000000000000000100000000000000001000000010000000011110000011000000000000001110000000001000000001010010000000000000000000000100000000100111001000000110000000000000011000000010000000000000000000000100000000000000000000000110000000011110000011000000000000001110000000001000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000000000000100000000000000001000000110000000011110000011000000000000001110000000011000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000001000000100000000000000001000000110000000011110000011000000000000001110100000011000000010100010000000000000000000000000000000101100100000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000000100000100110000000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100101001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000000010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000010000000000000001000000100000000000000000000000001000000011110000011000001000000000110100000011000000001000010000000000000001000000011000000100111000000000101000000100000000100000010000000000000001000000100000000000000001000000001000000011110000011000000000000001110000000000100000001010010000000000000001000000101000000100111001000000101000000000000010100000010000000000000001000000100000000000000000000000101000000011110000011000000000000001110000000000100000001010010000000000000001000000001000000100111000000000011000000100000010100000010000000000000001000000100000000000000001000000101000000011110000011000000000000001110000000010100000001010010000000000000001000000110000000100111001000000011000000000000001100000010000000000000001000000100000000000000000000000011000000011110000011000000000000001110000000010100000001010010000000000000001000000010000000100111000000000111000000100000001100000010000000000000001000000100000000000000001000000011000000011110000011000000000000001110000000001100000001010010000000000000001000000100000000100111001000000111000000000000011100000010000000000000001000000100000000000000000000000111000000011110000011000000000000001110100000001100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000001000000100000000000000001000000111000000011110000011000000000000001110000000011100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000000100000100000000000000001000000111000000011110000011000000000000001110100000011100000010100010000000000000001000000000000000101000100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000000000100000011100000000000000000000000000000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000100000100101100000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000000010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000000110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110000000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000010000000000000000000000100000000000000001000000111000000011110000000000000000000001110000000010000000001010010000000000000000000000111000000100100011000000000000000100000011100000010000000000000000000000110000000000000001000000111000000011110000000000000000000001110100000010000000001010010000000000000000000000011000000100100010000000100000000100000011100000010000000000000000000000100000001000000001000000111000000011110000000000000000000001110000000001000000001010010000000000000000000000101000000100100011000000100000000100000011100000010000000000000000000000110000001000000001000000111000000011110000000000000000000001110100000001000000001010010000000000000000000000001000000100100010000000010000000100000011100000010000000000000000000000100000000100000001000000111000000011110000000000000000000001110000000011000000000000010000000000000000000000110000000101100011000000010000000100000011100000010000000000000000000000110000000100000001000000111000000011110000000000000000000001110100000011000000001010010000000000000000000000010000000100100010000000110000000100000011100000010000000000000000000000100000001100000001000000111000000011110000000000000000000001110000000000100000001010010000000000000000000000100000000100100011000000110000000100000011100000010000000000000001000000110000001100000001000000111000000011110000000000000000000001110100000000100000001010010000000000000000000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000000010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000001000000000110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110000000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000010000000000000001000000100000000010000001000000111000000011110000000000000000000001110000000010100000001010010000000000000001000000111000000100100011000000001000000100000011100000010000000000000001000000110000000010000001000000111000000011110000000000000000000001110100000010100000001010010000000000000001000000011000000100100010000000101000000100000011100000010000000000000001000000100000001010000001000000111000000011110000000000000000000001110000000001100000001010010000000000000001000000101000000100100011000000101000000100000011100000010000000000000001000000110000001010000001000000111000000011110000000000000000000001110100000001100000001010010000000000000001000000001000000100100010000000011000000100000011100000010000000000000001000000100000000110000001000000111000000011110000000000000000000001110000000011100000000000010000000000000001000000110000000101100011000000011000000100000011100000010000000000000001000000110000000110000001000000111000000011110000000000000000000001110100000011100000001010010000000000000001000000010000000100100010000000111000000100000011100000010000000000000001000000100000001110000001000000111000000011110000000000000000000001110000000000010000001010010000000000000001000000100000000100100011000000111000000100000011100000010000000000000000100000110000001110000001000000111000000011110000000000000000000001110100000000010000001010010000000000000001000000100000000100000011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000</data>\r
+          <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
+        </log>\r
       </trigger>\r
     </signal_set>\r
     <position_info>\r
-      <single attribute="active tab" value="1"/>\r
-      <single attribute="data horizontal scroll position" value="118"/>\r
-      <single attribute="data vertical scroll position" value="9"/>\r
+      <single attribute="active tab" value="0"/>\r
+      <single attribute="data horizontal scroll position" value="132"/>\r
+      <single attribute="data vertical scroll position" value="0"/>\r
+      <single attribute="setup horizontal scroll position" value="0"/>\r
+      <single attribute="setup vertical scroll position" value="4"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
       <single attribute="zoom level numerator" value="64"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
       <single attribute="zoom offset numerator" value="2016"/>\r
+      <multi attribute="timebars" size="7" value="281,167,128,65,101,114,106"/>\r
     </position_info>\r
   </instance>\r
   <mnemonics/>\r