X-Git-Url: http://git.osdn.net/view?a=blobdiff_plain;f=grlib-gpl-1.0.22-b4095%2Flib%2Fkuri%2Fmjpeg%2Fhuffdctycc.vhd;h=54ef50f3973f403acb1cdd2db5a017552824ba3a;hb=53c54253ca92285bdfb1822aedb163b3adf6ef20;hp=0a70c9fbb9c3c3d5daba198a27db31bbc78b9cf7;hpb=29f1af547a0370c3531dfbc51c6d02edf25ff65d;p=fpga-leon-mjpeg%2Fleon-mjpeg.git diff --git a/grlib-gpl-1.0.22-b4095/lib/kuri/mjpeg/huffdctycc.vhd b/grlib-gpl-1.0.22-b4095/lib/kuri/mjpeg/huffdctycc.vhd index 0a70c9fb..54ef50f3 100644 --- a/grlib-gpl-1.0.22-b4095/lib/kuri/mjpeg/huffdctycc.vhd +++ b/grlib-gpl-1.0.22-b4095/lib/kuri/mjpeg/huffdctycc.vhd @@ -110,26 +110,26 @@ begin port map(rst => rst, clk => clk, kready1 => kready1, kstrobe1 => kstrobe1, kaddress1 => kaddress1, kdata1 => kdata1, kready2 => kready2, kstrobe2 => kstrobe2, kdata2 => kdata2, error =>error(0), samp_fact => jpg_setting.samp_fact, kstrobeq1 => kstrobeq, kdataq1 => kdataq1, kdataq2 => kdataq2, - kaddq => kaddq, krdq => krdq, krddataq => krddataq); + kaddq => kaddq, krdq => krdq, krddataq => krddataq, startgen => startgen); dct1 : idct1 port map(rst => rst, clk => clk, ready1 => kready2, strobe1 => kstrobe2, coeffin => kdata2, - quantin => kdataq2, outdata => kdata3, ready2 => kready3, strobe2 => kstrobe3); + quantin => kdataq2, outdata => kdata3, ready2 => kready3, strobe2 => kstrobe3, startgen => startgen); dctmem2 : dctmem2cont generic map(memtech => memtech) port map(rst => rst, clk => clk, kready1 => kready3, kstrobe1 => kstrobe3, kdata1 => kdata3, - kready2 => kready4, kstrobe2 => kstrobe4, kdata2 => kdata4, error => error(1) ); + kready2 => kready4, kstrobe2 => kstrobe4, kdata2 => kdata4, error => error(1), startgen => startgen); dct2 : idct2 port map(rst => rst, clk => clk, ready1 => kready4, strobe1 => kstrobe4, coeffin => kdata4, - outdata => kdata5, ready2 => kready5, strobe2 => kstrobe5); + outdata => kdata5, ready2 => kready5, strobe2 => kstrobe5, startgen => startgen); yccmem : yccmemcont generic map(memtech => memtech) port map(rst => rst, clk => clk, kready1 => kready5, kstrobe1 => kstrobe5, kdata1 => kdata5, kready2 => kready6, kstrobe2 => kstrobe6, kdata2 => kdata6, samp_fact => jpg_setting.samp_fact, - error => error(2)); + error => error(2), startgen => startgen); ycb : yccrgb generic map(memtech => memtech, hirq => hirq, mhindex => mhindex,