OSDN Git Service

dt-bindings: display: sitronix, st7735r: Make reset-gpios optional
authorNoralf Trønnes <noralf@tronnes.org>
Wed, 24 Nov 2021 15:07:53 +0000 (16:07 +0100)
committerNoralf Trønnes <noralf@tronnes.org>
Wed, 9 Mar 2022 10:28:26 +0000 (10:28 +0000)
commita2e8cbb27722b0992aceb93b35e9d9433d8a758e
treee9c5bd70401dae4f5da3f479ac6c2102a5fcd33d
parent471e201f543559e2cb19b182b680ebf04d80ee31
dt-bindings: display: sitronix, st7735r: Make reset-gpios optional

There are other ways than using a gpio to reset the controller so make
this property optional.

Signed-off-by: Noralf Trønnes <noralf@tronnes.org>
Acked-by: Rob Herring <robh@kernel.org>
Acked-by: David Lechner <david@lechnology.com>
Link: https://patchwork.freedesktop.org/patch/msgid/20211124150757.17929-3-noralf@tronnes.org
Documentation/devicetree/bindings/display/sitronix,st7735r.yaml