OSDN Git Service

fpga: fpga-mgr: wrap the state() op
authorTom Rix <trix@redhat.com>
Fri, 25 Jun 2021 19:51:46 +0000 (12:51 -0700)
committerMoritz Fischer <mdf@kernel.org>
Sat, 24 Jul 2021 22:10:31 +0000 (15:10 -0700)
commitb02a40713db95ebd8f72151b0fea8080d9f74c27
tree03f2053a8e68225b35793f8c9c37e40df16d1289
parent6f9922711359d2092fb91036193dfed0d1bdf8b8
fpga: fpga-mgr: wrap the state() op

An FPGA manager should not be required to provide a state() op.
Add a wrapper consistent with the other op wrappers.
Move op check to wrapper.
Default to FPGA_MGR_STATE_UNKNOWN, what noop state() ops use.
Remove unneeded noop state() ops

[mdf@kernel.org: Reworded first line]
Signed-off-by: Tom Rix <trix@redhat.com>
Signed-off-by: Moritz Fischer <mdf@kernel.org>
drivers/fpga/dfl-fme-mgr.c
drivers/fpga/fpga-mgr.c
drivers/fpga/stratix10-soc.c
drivers/fpga/ts73xx-fpga.c