OSDN Git Service

test full 8MB memory.
authorastoria-d <astoria-d@mail.goo.ne.jp>
Wed, 9 Apr 2014 03:07:10 +0000 (12:07 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Wed, 9 Apr 2014 03:07:10 +0000 (12:07 +0900)
tools/qt_proj_test5/qt_proj_test5.qsf
tools/qt_proj_test5/sdram-test.stp
tools/qt_proj_test5/sdram-test_auto_stripped.stp
tools/qt_proj_test5/sdram_rw.vhd

index 389d0b2..0299a37 100644 (file)
@@ -290,8 +290,6 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55]
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "sdram_rw:sdr_rw|blen[0]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "sdram_rw:sdr_rw|blen[1]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "sdram_rw:sdr_rw|blen[2]" -section_id auto_signaltap_0\r
@@ -410,10 +408,11 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=180" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=61" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=207" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=13771" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=11951" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=60" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=204" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=5695" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=65471" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/tools/qt_proj_test5/sdram-test_auto_stripped.stp"\r
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
index 8469ea6..34c8dc4 100644 (file)
@@ -2,33 +2,21 @@
   <display_tree gui_logging_enabled="0">\r
     <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
   </display_tree>\r
-  <global_info>\r
-    <single attribute="active instance" value="0"/>\r
-    <multi attribute="frame size" size="2" value="1679,883"/>\r
-    <single attribute="jtag widget visible" value="1"/>\r
-    <multi attribute="jtag widget size" size="2" value="334,270"/>\r
-    <single attribute="instance widget visible" value="1"/>\r
-    <single attribute="config widget visible" value="1"/>\r
-    <single attribute="hierarchy widget visible" value="0"/>\r
-    <single attribute="data log widget visible" value="0"/>\r
-    <single attribute="hierarchy widget height" value="1"/>\r
-    <multi attribute="column width" size="23" value="34,34,210,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>\r
-  </global_info>\r
-  <instance entity_name="sld_signaltap" is_auto_node="yes" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
+  <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
     <position_info>\r
       <single attribute="active tab" value="0"/>\r
+      <single attribute="data horizontal scroll position" value="42"/>\r
       <single attribute="data vertical scroll position" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="670"/>\r
-      <single attribute="zoom level numerator" value="32"/>\r
+      <single attribute="setup horizontal scroll position" value="0"/>\r
+      <single attribute="setup vertical scroll position" value="4"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
-      <single attribute="zoom offset numerator" value="4032"/>\r
+      <single attribute="zoom level numerator" value="64"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
+      <single attribute="zoom offset numerator" value="2016"/>\r
       <multi attribute="timebars" size="7" value="281,167,128,65,101,114,106"/>\r
-      <single attribute="setup vertical scroll position" value="4"/>\r
-      <single attribute="setup horizontal scroll position" value="0"/>\r
     </position_info>\r
-    <signal_set global_temp="1" name="signal_set: 2014/02/14 19:02:01  #0">\r
+    <signal_set global_temp="1" name="signal_set: 2014/04/09 11:52:04  #0">\r
       <clock name="dbg_mem_clk" polarity="posedge" tap_mode="classic"/>\r
       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="1024" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
@@ -93,7 +81,6 @@
           <wire name="sdram_rw:sdr_rw|wbm_cyc_o" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|wbm_stall_i" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|wbm_stb_o" tap_mode="classic"/>\r
-          <wire name="sdram_rw:sdr_rw|wbm_we_i" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|writing" tap_mode="classic"/>\r
         </trigger_input_vec>\r
         <data_input_vec>\r
       </signal_vec>\r
       <presentation>\r
         <unified_setup_data_view>\r
-          <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
-            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
-            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
-            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
-            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </node>\r
-          <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_r" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
           <node name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
-            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
-            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
-            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[8]" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
-            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[7]" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
-            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[6]" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
-            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[5]" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
-            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[4]" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
-            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[3]" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
-            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[2]" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
-            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
-            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
+            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
+            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
+            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
+            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </node>\r
           <node name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
-            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
-            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
-            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
-            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
-            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
-            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
-            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
-            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
-            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
-            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
-            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
-            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
-            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
-            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
-            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
-            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
+            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
+            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
+            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
+            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
+            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
+            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
+            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
+            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
+            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
+            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
+            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
+            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
+            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
+            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
+            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
+            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </node>\r
-          <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
-            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
+            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
-          <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
-          <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
-          <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
-          <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
-          <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
-          <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_cyc_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stb_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
           </node>\r
-          <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-          <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-          <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-          <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+          <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
+          <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+          <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+          <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+          <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </unified_setup_data_view>\r
         <data_view>\r
-          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </bus>\r
-          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_r" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
           <bus name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
-            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[8]" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[7]" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[6]" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[5]" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[4]" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[3]" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[2]" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
-            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
-            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </bus>\r
           <bus name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </bus>\r
-          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
-          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
-          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
-          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
-          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
-          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
-          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_cyc_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stb_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
           </bus>\r
-          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
+          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </data_view>\r
         <setup_view>\r
-          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </bus>\r
-          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_r" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
           <bus name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
-            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[8]" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[7]" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[6]" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[5]" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[4]" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[3]" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[2]" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
-            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
-            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </bus>\r
           <bus name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </bus>\r
-          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
-          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
-          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
-          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
-          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
-          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
-          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_cyc_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stb_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
           </bus>\r
-          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
+          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </setup_view>\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/02/14 19:09:31  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="FFBF163F" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/04/09 11:52:04  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'sdram_rw:sdr_rw|wbm_we_i' == falling edge\r
+          <level enabled="yes" name="condition1" type="basic">'red_led' == rising edge\r
             <power_up enabled="yes">\r
             </power_up>\r
             <op_node/>\r
           </level>\r
         </events>\r
         <storage_qualifier_events>\r
-          <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
-            <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
+          <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001\r
+            <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001</pwr_up_transitional>\r
           </transitional>\r
           <storage_qualifier_level type="basic">\r
             <power_up>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: 2014/02/14 19:30:48  #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110100000010100011001010010000000000000000000110010011100100111000000000111000110100000001100011010000000000000000000110100000000000000001000000011000110011111111011000000000000001110000000001100011001010010000000000000000000110100011100100111001000000111000110000000011100011010000000000000000000110100000000000000000000000111000110011111111011000000000000001110100000001100011001010010000000000000000000110000011100100111000000000000100110100000011100011010000000000000000000110100000000000000001000000111000110011111111011000000000000001110000000011100011001010010000000000000000000110111101100100111001000000000100110000000000010011010000000000000000000110100000000000000000000000000100110011111111011000000000000001110100000011100011001010010000000000000000000110011101100100111000000000100100110100000000010011010000000000000000000110100000000000000001000000000100110011111111011000000000000001110000000000010011001010010000000000000000000110101101100100111001000000100100110000000010010011010000000000000000000110100000000000000000000000100100110011111111011000000000000001110100000000010011001010010000000000000000000110001101100100111000000000010100110100000010010011010000000000000000000110100000000000000001000000100100110011111111011000000000000001110000000010010011001010010000000000000000000110110101100100111001000000010100110000000001010011010000000000000000000110100000000000000000000000010100110011111111011000000000000001110100000010010011001010010000000000000000000110010101100100111000000000110100110100000001010011010000000000000000000110100000000000000001000000010100110011111111011000000000000001110000000001010011001010010000000000000000000110100101100100111001000000110100110000000011010011010000000000000000000110100000000000000000000000110100110011111111011000000000000001110100000001010011001010010000000000000000000110000101100100111000000000001100110100000011010011010000000000000000000110100000000000000001000000110100110011111111011000000000000001110000000011010011001010010000000000000000000110111001100100111001000000001100110000000000110011010000000000000000000110100000000000000000000000001100110011111111011000000000000001110100000011010011001010010000000000000000000110011001100100111000000000101100110100000000110011010000000000000000000110100000000000000001000000001100110011111111011000000000000001110000000000110011001010010000000000000000000110101001100100111001000000101100110000000010110011010000000000000000000110100000000000000000000000101100110011111111011000000000000001110100000000110011001010010000000000000000000110001001100100111000000000011100110100000010110011010000000000000000000110100000000000000001000000101100110011111111011000000000000001110000000010110011001010010000000000000000000110110001100100111001000000011100110000000001110011010000000000000000000110100000000000000000000000011100110011111111011000000000000001110100000010110011001010010000000000000000000110010001100100111000000000111100110100000001110011010000000000000000000110100000000000000001000000011100110011111111011000000000000001110000000001110011001010010000000000000000000110100001100100111001000000111100110000000011110011010000000000000000000110100000000000000000000000111100110011111111011000000000000001110100000001110011001010010000000000000000000110000001100100111000000000000010110100000011110011010000000000000000000110100000000000000001000000111100110011111111011000000000000001110000000011110011001010010000000000000000000110111110100100111001000000000010110000000000001011010000000000000000000110100000000000000000000000000010110011111111011000000000000001110100000011110011001010010000000000000000000110011110100100111000000000100010110100000000001011010000000000000000000110100000000000000001000000000010110011111111011000000000000001110000000000001011001010010000000000000000000110101110100100111001000000100010110000000010001011010000000000000000000110100000000000000000000000100010110011111111011000000000000001110100000000001011001010010000000000000000000110001110100100111000000000010010110100000010001011010000000000000000000110100000000000000001000000100010110011111111011000000000000001110000000010001011001010010000000000000000000110110110100100111001000000010010110000000001001011010000000000000000000110100000000000000000000000010010110011111111011000000000000001110100000010001011001010010000000000000000000110010110100100111000000000110010110100000001001011010000000000000000000110100000000000000001000000010010110011111111011000000000000001110000000001001011001010010000000000000000000110100110100100111001000000110010110000000011001011010000000000000000000110100000000000000000000000110010110011111111011000000000000001110100000001001011001010010000000000000000000110000110100100111000000000001010110100000011001011010000000000000000000110100000000000000001000000110010110011111111011000000000000001110000000011001011001010010000000000000000000110111010100100111001000000001010110000000000101011010000000000000000000110100000000000000000000000001010110011111111011000000000000001110100000011001011001010010000000000000000000110011010100100111000000000101010110100000000101011010000000000000000000110100000000000000001000000001010110011111111011000000000000001110000000000101011001010010000000000000000000110101010100100111001000000101010110000000010101011010000000000000000000110100000000000000000000000101010110011111111011000000000000001110100000000101011001010010000000000000000000110001010100100111000000000011010110100000010101011010000000000000000000110100000000000000001000000101010110011111111011000000000000001110000000010101011001010010000000000000000000110110010100100111001000000011010110000000001101011010000000000000000000110100000000000000000000000011010110011111111011000000000000001110100000010101011001010010000000000000000000110010010100100111000000000111010110100000001101011010000000000000000000110100000000000000001000000011010110011111111011000000000000001110000000001101011001010010000000000000000000110100010100100111001000000111010110000000011101011010000000000000000000110100000000000000000000000111010110011111111011000000000000001110100000001101011001010010000000000000000000110000010100100111000000000000110110100000011101011010000000000000000000110100000000000000001000000111010110011111111011000000000000001110000000011101011001010010000000000000000000110111100100100111001000000000110110000000000011011010000000000000000000110100000000000000000000000000110110011111111011000000000000001110100000011101011001010010000000000000000000110011100100100111000000000100110110100000000011011010000000000000000000110100000000000000001000000000110110011111111011000000000000001110000000000011011001010010000000000000000000110101100100100111001000000100110110000000010011011010000000000000000000110100000000000000000000000100110110011111111011000000000000001110100000000011011001010010000000000000000000110001100100100111000000000010110110100000010011011010000000000000000000110100000000000000001000000100110110011111111011000000000000001110000000010011011001010010000000000000000000110110100100100111001000000010110110000000001011011010000000000000000000110100000000000000000000000010110110011111111011000000000000001110100000010011011001010010000000000000000000110010100100100111000000000110110110100000001011011010000000000000000000110100000000000000001000000010110110011111111011000000000000001110000000001011011001010010000000000000000000110100100100100111001000000110110110000000011011011010000000000000000000110100000000000000000000000110110110011111111011000000000000001110100000001011011001010010000000000000000000110000100100100111000000000001110110100000011011011010000000000000000000110100000000000000001000000110110110011111111011000000000000001110000000011011011001010010000000000000000000110111000100100111001000000001110110000000000111011010000000000000000000110100000000000000000000000001110110011111111011000000000000001110100000011011011001010010000000000000000000110011000100100111000000000101110110100000000111011010000000000000000000110100000000000000001000000001110110011111111011000000000000001110000000000111011001010010000000000000000000110101000100100111001000000101110110000000010111011010000000000000000000110100000000000000000000000101110110011111111011000000000000001110100000000111011001010010000000000000000000110001000100100111000000000011110110100000010111011010000000000000000000110100000000000000001000000101110110011111111011000000000000001110000000010111011001010010000000000000000000110110000100100111001000000011110110000000001111011010000000000000000000110100000000000000000000000011110110011111111011000000000000001110100000010111011001010010000000000000000000110010000100100111000000000111110110100000001111011010000000000000000000110100000000000000001000000011110110011111111011000000000000001110000000001111011001010010000000000000000000110100000100100111001000000111110110000000011111011010000000000000000000110100000000000000000000000111110110011111111011000000000000001110100000001111011001010010000000000000000000110000000100100111000000000000001110100000011111011010000000000000000000110100000000000000001000000111110110011111111011000000000000001110000000011111011001010010000000000000000000110111111000100111001000000000001110000000000000111010000000000000000000110100000000000000000000000000001110011111111011000000000000001110100000011111011001010010000000000000000000110011111000100111000000000100001110100000000000111010000000000000000000110100000000000000001000000000001110011111111011000000000000001110000000000000111001010010000000000000000000110101111000100111001000000100001110000000010000111010000000000000000000110100000000000000000000000100001110011111111011000000000000001110100000000000111001010010000000000000000000110001111000100111000000000010001110100000010000111010000000000000000000110100000000000000001000000100001110011111111011000000000000001110000000010000111001010010000000000000000000110110111000100111001000000010001110000000001000111010000000000000000000110100000000000000000000000010001110011111111011000000000000001110100000010000111001010010000000000000000000110010111000100111000000000110001110100000001000111010000000000000000000110100000000000000001000000010001110011111111011000000000000001110000000001000111001010010000000000000000000110100111000100111001000000110001110000000011000111010000000000000000000110100000000000000000000000110001110011111111011000000000000001110100000001000111001010010000000000000000000110000111000100111000000000001001110100000011000111010000000000000000000110100000000000000001000000110001110011111111011000000000000001110000000011000111001010010000000000000000000110111011000100111001000000001001110000000000100111010000000000000000000110100000000000000000000000001001110011111111011000000000000001110100000011000111001010010000000000000000000110011011000100111000000000101001110100000000100111010000000000000000000110100000000000000001000000001001110011111111011000000000000001110000000000100111001010010000000000000000000110101011000100111001000000101001110000000010100111010000000000000000000110100000000000000000000000101001110011111111011000000000000001110100000000100111001010010000000000000000000110001011000100111000000000011001110100000010100111010000000000000000000110100000000000000001000000101001110011111111011000000000000001110000000010100111001010010000000000000000000110110011000100111001000000011001110000000001100111010000000000000000000110100000000000000000000000011001110011111111011000000000000001110100000010100111001010010000000000000000000110010011000100111000000000111001110100000001100111010000000000000000000110100000000000000001000000011001110011111111011000000000000001110000000001100111001010010000000000000000000110100011000100111001000000111001110000000011100111010000000000000000000110100000000000000000000000111001110011111111011000000000000001110100000001100111001010010000000000000000000110000011000100111000000000000101110100000011100111010000000000000000000110100000000000000001000000111001110011111111011000000000000001110000000011100111001010010000000000000000000110111101000100111001000000000101110000000000010111010000000000000000000110100000000000000000000000000101110011111111011000000000000001110100000011100111001010010000000000000000000110011101000100111000000000100101110100000000010111010000000000000000000110100000000000000001000000000101110011111111011000000000000001110000000000010111001010010000000000000000000110101101000100111001000000100101110000000010010111010000000000000000000110100000000000000000000000100101110011111111011000000000000001110100000000010111001010010000000000000000000110001101000100111000000000010101110100000010010111010000000000000000000110100000000000000001000000100101110011111111011000000000000001110000000010010111001010010000000000000000000110110101000100111001000000010101110000000001010111010000000000000000000110100000000000000000000000010101110011111111011000000000000001110100000010010111001010010000000000000000000110010101000100111000000000110101110100000001010111010000000000000000000110100000000000000001000000010101110011111111011000000000000001110000000001010111001010010000000000000000000110100101000100111001000000110101110000000011010111010000000000000000000110100000000000000000000000110101110011111111011000000000000001110100000001010111001010010000000000000000000110000101000100111000000000001101110100000011010111010000000000000000000110100000000000000001000000110101110011111111011000000000000001110000000011010111001010010000000000000000000110111001000100111001000000001101110000000000110111010000000000000000000110100000000000000000000000001101110011111111011000000000000001110100000011010111001010010000000000000000000110011001000100111000000000101101110100000000110111010000000000000000000110100000000000000001000000001101110011111111011000000000000001110000000000110111001010010000000000000000000110101001000100111001000000101101110000000010110111010000000000000000000110100000000000000000000000101101110011111111011000000000000001110100000000110111001010010000000000000000000110001001000100111000000000011101110100000010110111010000000000000000000110100000000000000001000000101101110011111111011000000000000001110000000010110111001010010000000000000000000110110001000100111001000000011101110000000001110111010000000000000000000110100000000000000000000000011101110011111111011000000000000001110100000010110111001010010000000000000000000110010001000100111000000000111101110100000001110111010000000000000000000110100000000000000001000000011101110011111111011000000000000001110000000001110111001010010000000000000000000110100001000100111001000000111101110000000011110111010000000000000000000110100000000000000000000000111101110011111111011000000000000001110100000001110111001010010000000000000000000110000001000100111000000000000011110100000011110111010000000000000000000110100000000000000001000000111101110011111111011000000000000001110000000011110111001010010000000000000000000110111110000100111001000000000011110000000000001111010000000000000000000110100000000000000000000000000011110011111111011000000000000001110100000011110111001010010000000000000000000110011110000100111000000000100011110100000000001111010000000000000000000110100000000000000001000000000011110011111111011000000000000001110000000000001111001010010000000000000000000110101110000100111001000000100011110000000010001111010000000000000000000110100000000000000000000000100011110011111111011000000000000001110100000000001111001010010000000000000000000110001110000100111000000000010011110100000010001111010000000000000000000110100000000000000001000000100011110011111111011000000000000001110000000010001111001010010000000000000000000110110110000100111001000000010011110000000001001111010000000000000000000110100000000000000000000000010011110011111111011000000000000001110100000010001111001010010000000000000000000110010110000100111000000000110011110100000001001111010000000000000000000110100000000000000001000000010011110011111111011000000000000001110000000001001111001010010000000000000000000110100110000100111001000000110011110000000011001111010000000000000000000110100000000000000000000000110011110011111111011000000000000001110100000001001111001010010000000000000000000110000110000100111000000000001011110100000011001111010000000000000000000110100000000000000001000000110011110011111111011000000000000001110000000011001111001010010000000000000000000110111010000100111001000000001011110000000000101111010000000000000000000110100000000000000000000000001011110011111111011000000000000001110100000011001111001010010000000000000000000110011010000100111000000000101011110100000000101111010000000000000000000110100000000000000001000000001011110011111111011000000000000001110000000000101111001010010000000000000000000110101010000100111001000000101011110000000010101111010000000000000000000110100000000000000000000000101011110011111111011000000000000001110100000000101111001010010000000000000000000110001010000100111000000000011011110100000010101111010000000000000000000110100000000000000001000000101011110011111111011000000000000001110000000010101111001010010000000000000000000110110010000100111001000000011011110000000001101111010000000000000000000110100000000000000000000000011011110011111111011000000000000001110100000010101111001010010000000000000000000110010010000100111000000000111011110100000001101111010000000000000000000110100000000000000001000000011011110011111111011000000000000001110000000001101111001010010000000000000000000110100010000100111001000000111011110000000011101111010000000000000000000110100000000000000000000000111011110011111111011000000000000001110100000001101111001010010000000000000000000110000010000100111000000000000111110100000011101111010000000000000000000110100000000000000001000000111011110011111111011000000000000001110000000011101111001010010000000000000000000110111100000100111001000000000111110000000000011111010000000000000000000110100000000000000000000000000111110011111111011000000000000001110100000011101111001010010000000000000000000110011100000100111000000000100111110100000000011111010000000000000000000110100000000000000001000000000111110011111111011000000000000001110000000000011111001010010000000000000000000110101100000100111001000000100111110000000010011111010000000000000000000110100000000000000000000000100111110011111111011000000000000001110100000000011111001010010000000000000000000110001100000100111000000000010111110100000010011111010000000000000000000110100000000000000001000000100111110011111111011000000000000001110000000010011111001010010000000000000000000110110100000100111001000000010111110000000001011111010000000000000000000110100000000000000000000000010111110011111111011000000000000001110100000010011111001010010000000000000000000110010100000100111000000000110111110100000001011111010000000000000000000110100000000000000001000000010111110011111111011000000000000001110000000001011111001010010000000000000000000110100100000100111001000000110111110000000011011111010000000000000000000110100000000000000000000000110111110011111111011000000000000001110100000001011111001010010000000000000000000110000100000100111000000000001111110100000011011111010000000000000000000110100000000000000001000000110111110011111111011000000000000001110000000011011111001010010000000000000000000110111000000100111001000000001111110000000000111111010000000000000000000110100000000000000000000000001111110011111111011000000000000001110100000011011111001010010000000000000000000110011000000100111000000000101111110100000000111111010000000000000000000110100000000000000001000000001111110011111111011000000000000001110000000000111111001010010000000000000000000110101000000100111001000000101111110000000010111111010000000000000000000110100000000000000000000000101111110011111111011000000000000001110100000000111111001010010000000000000000000110001000000100111000000000011111110100000010111111010000000000000000000110100000000000000001000000101111110011111111011000000000000001110000000010111111001010010000000000000000000110110000000100111001000000011111110000000001111111010000000000000000000110100000000000000000000000011111110011111111011000000000000001110100000010111111001010010000000000000000000110010000000100111000000000111111110100000001111111010000000000000000000110100000000000000001000000011111110011111111011000000000000001110000000001111111001010010000000000000000000110100000000100111001000000111111110000000011111111010000000000000000000110100000000000000000000000111111110011111111011000000000000001110100000001111111001010010000000000000000000110000000000100111000000000000000001100000011111111010000000000000000000110100000000000000001000000111111110011111111011000000000000001110000000011111111001010010000000000000000000110000000000100111000000000000000001100000011111111010000000000000000000001100000000000000001000000111111110011111111011000000000000001110100000011111111010100010000000000000000000110000000000101000100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000000100000011111111000000000000000000000000000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000010100101100000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111000010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010110100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010110100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010110100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000000110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110000000000000000001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000000000000010100100010000000000000000100000011111111010000000000000000000000100000000000000001000000111111110011111111000000000000000001110000000010000000001010010000000000000000000000111111100100100011000000000000000100000011111111010000000000000000000000110000000000000001000000111111110011111111000000000000000001110100000010000000001010010000000000000000000000011111100100100010000000100000000100000011111111010000000000000000000000100000001000000001000000111111110011111111000000000000000001110000000001000000001010010000000000000000000000101111100100100011000000100000000100000011111111010000000000000000000000110000001000000001000000111111110011111111000000000000000001110100000001000000001010010000000000000000000000001111100100100010000000010000000100000011111111010000000000000000000000100000000100000001000000111111110011111111000000000000000001110000000011000000001010010000000000000000000000110111100100100011000000010000000100000011111111010000000000000000000000110000000100000001000000111111110011111111000000000000000001110100000011000000001010010000000000000000000000010111100100100010000000110000000100000011111111010000000000000000000000100000001100000001000000111111110011111111000000000000000001110000000000100000001010010000000000000000000000100111100100100011000000110000000100000011111111010000000000000000000000110000001100000001000000111111110011111111000000000000000001110100000000100000001010010000000000000000000000000111100100100010000000001000000100000011111111010000000000000000000000100000000010000001000000111111110011111111000000000000000001110000000010100000001010010000000000000000000000111011100100100011000000001000000100000011111111010000000000000000000000110000000010000001000000111111110011111111000000000000000001110100000010100000001010010000000000000000000000011011100100100010000000101000000100000011111111010000000000000000000000100000001010000001000000111111110011111111000000000000000001110000000001100000001010010000000000000000000000101011100100100011000000101000000100000011111111010000000000000000000000110000001010000001000000111111110011111111000000000000000001110100000001100000001010010000000000000000000000001011100100100010000000011000000100000011111111010000000000000000000000100000000110000001000000111111110011111111000000000000000001110000000011100000001010010000000000000000000000110011100100100011000000011000000100000011111111010000000000000000000000110000000110000001000000111111110011111111000000000000000001110100000011100000001010010000000000000000000000010011100100100010000000111000000100000011111111010000000000000000000000100000001110000001000000111111110011111111000000000000000001110000000000010000001010010000000000000000000000100011100100100011000000111000000100000011111111010000000000000000000000110000001110000001000000111111110011111111000000000000000001110100000000010000001010010000000000000000000000000011100100100010000000000100000100000011111111010000000000000000000000100000000001000001000000111111110011111111000000000000000001110000000010010000001010010000000000000000000000111101100100100011000000000100000100000011111111010000000000000000000000110000000001000001000000111111110011111111000000000000000001110100000010010000001010010000000000000000000000011101100100100010000000100100000100000011111111010000000000000000000000100000001001000001000000111111110011111111000000000000000001110000000001010000001010010000000000000000000000101101100100100011000000100100000100000011111111010000000000000000000000110000001001000001000000111111110011111111000000000000000001110100000001010000001010010000000000000000000000001101100100100010000000010100000100000011111111010000000000000000000000100000000101000001000000111111110011111111000000000000000001110000000011010000001010010000000000000000000000110101100100100011000000010100000100000011111111010000000000000000000000110000000101000001000000111111110011111111000000000000000001110100000011010000001010010000000000000000000000010101100100100010000000110100000100000011111111010000000000000000000000100000001101000001000000111111110011111111000000000000000001110000000000110000001010010000000000000000000000100101100100100011000000110100000100000011111111010000000000000000000000110000001101000001000000111111110011111111000000000000000001110100000000110000001010010000000000000000000000000101100100100010000000001100000100000011111111010000000000000000000000100000000011000001000000111111110011111111000000000000000001110000000010110000001010010000000000000000000000111001100100100011000000001100000100000011111111010000000000000000000000110000000011000001000000111111110011111111000000000000000001110100000010110000001010010000000000000000000000011001100100100010000000101100000100000011111111010000000000000000000000100000001011000001000000111111110011111111000000000000000001110000000001110000001010010000000000000000000000101001100100100011000000101100000100000011111111010000000000000000000000110000001011000001000000111111110011111111000000000000000001110100000001110000001010010000000000000000000000001001100100100010000000011100000100000011111111010000000000000000000000100000000111000001000000111111110011111111000000000000000001110000000011110000001010010000000000000000000000110001100100100011000000011100000100000011111111010000000000000000000000110000000111000001000000111111110011111111000000000000000001110100000011110000001010010000000000000000000000010001100100100010000000111100000100000011111111010000000000000000000000100000001111000001000000111111110011111111000000000000000001110000000000001000001010010000000000000000000000100001100100100011000000111100000100000011111111010000000000000000000000110000001111000001000000111111110011111111000000000000000001110100000000001000001010010000000000000000000000000001100100100010000000000010000100000011111111010000000000000000000000100000000000100001000000111111110011111111000000000000000001110000000010001000001010010000000000000000000000111110100100100011000000000010000100000011111111010000000000000000000000110000000000100001000000111111110011111111000000000000000001110100000010001000001010010000000000000000000000011110100100100010000000100010000100000011111111010000000000000000000000100000001000100001000000111111110011111111000000000000000001110000000001001000001010010000000000000000000000101110100100100011000000100010000100000011111111010000000000000000000000110000001000100001000000111111110011111111000000000000000001110100000001001000001010010000000000000000000000001110100100100010000000010010000100000011111111010000000000000000000000100000000100100001000000111111110011111111000000000000000001110000000011001000001010010000000000000000000000110110100100100011000000010010000100000011111111010000000000000000000000110000000100100001000000111111110011111111000000000000000001110100000011001000001010010000000000000000000000010110100100100010000000110010000100000011111111010000000000000000000000100000001100100001000000111111110011111111000000000000000001110000000000101000001010010000000000000000000000100110100100100011000000110010000100000011111111010000000000000000000000110000001100100001000000111111110011111111000000000000000001110100000000101000001010010000000000000000000000000110100100100010000000001010000100000011111111010000000000000000000000100000000010100001000000111111110011111111000000000000000001110000000010101000001010010000000000000000000000111010100100100011000000001010000100000011111111010000000000000000000000110000000010100001000000111111110011111111000000000000000001110100000010101000001010010000000000000000000000011010100100100010000000101010000100000011111111010000000000000000000000100000001010100001000000111111110011111111000000000000000001110000000001101000001010010000000000000000000000101010100100100011000000101010000100000011111111010000000000000000000000110000001010100001000000111111110011111111000000000000000001110100000001101000001010010000000000000000000000001010100100100010000000011010000100000011111111010000000000000000000000100000000110100001000000111111110011111111000000000000000001110000000011101000001010010000000000000000000000110010100100100011000000011010000100000011111111010000000000000000000000110000000110100001000000111111110011111111000000000000000001110100000011101000001010010000000000000000000000010010100100100010000000111010000100000011111111010000000000000000000000100000001110100001000000111111110011111111000000000000000001110000000000011000001010010000000000000000000000100010100100100011000000111010000100000011111111010000000000000000000000110000001110100001000000111111110011111111000000000000000001110100000000011000001010010000000000000000000000000010100100100010000000000110000100000011111111010000000000000000000000100000000001100001000000111111110011111111000000000000000001110000000010011000001010010000000000000000000000111100100100100011000000000110000100000011111111010000000000000000000000110000000001100001000000111111110011111111000000000000000001110100000010011000001010010000000000000000000000011100100100100010000000100110000100000011111111010000000000000000000000100000001001100001000000111111110011111111000000000000000001110000000001011000001010010000000000000000000000101100100100100011000000100110000100000011111111010000000000000000000000110000001001100001000000111111110011111111000000000000000001110100000001011000001010010000000000000000000000001100100100100010000000010110000100000011111111010000000000000000000000100000000101100001000000111111110011111111000000000000000001110000000011011000001010010000000000000000000000110100100100100011000000010110000100000011111111010000000000000000000000110000000101100001000000111111110011111111000000000000000001110100000011011000001010010000000000000000000000010100100100100010000000110110000100000011111111010000000000000000000000100000001101100001000000111111110011111111000000000000000001110000000000111000001010010000000000000000000000100100100100100011000000110110000100000011111111010000000000000000000000110000001101100001000000111111110011111111000000000000000001110100000000111000001010010000000000000000000000000100100100100010000000001110000100000011111111010000000000000000000000100000000011100001000000111111110011111111000000000000000001110000000010111000001010010000000000000000000000111000100100100011000000001110000100000011111111010000000000000000000000110000000011100001000000111111110011111111000000000000000001110100000010111000001010010000000000000000000000011000100100100010000000101110000100000011111111010000000000000000000000100000001011100001000000111111110011111111000000000000000001110000000001111000001010010000000000000000000000101000100100100011000000101110000100000011111111010000000000000000000000110000001011100001000000111111110011111111000000000000000001110100000001111000001010010000000000000000000000001000100100100010000000011110000100000011111111010000000000000000000000100000000111100001000000111111110011111111000000000000000001110000000011111000001010010000000000000000000000110000100100100011000000011110000100000011111111010000000000000000000000110000000111100001000000111111110011111111000000000000000001110100000011111000001010010000000000000000000000010000100100100010000000111110000100000011111111010000000000000000000000100000001111100001000000111111110011111111000000000000000001110000000000000100001010010000000000000000000000100000100100100011000000111110000100000011111111010000000000000000000000110000001111100001000000111111110011111111000000000000000001110100000000000100001010010000000000000000000000000000100100100010000000000001000100000011111111010000000000000000000000100000000000010001000000111111110011111111000000000000000001110000000010000100001010010000000000000000000000111111000100100011000000000001000100000011111111010000000000000000000000110000000000010001000000111111110011111111000000000000000001110100000010000100001010010000000000000000000000011111000100100010000000100001000100000011111111010000000000000000000000100000001000010001000000111111110011111111000000000000000001110000000001000100001010010000000000000000000000101111000100100011000000100001000100000011111111010000000000000000000000110000001000010001000000111111110011111111000000000000000001110100000001000100001010010000000000000000000000001111000100100010000000010001000100000011111111010000000000000000000000100000000100010001000000111111110011111111000000000000000001110000000011000100001010010000000000000000000000110111000100100011000000010001000100000011111111010000000000000000000000110000000100010001000000111111110011111111000000000000000001110100000011000100001010010000000000000000000000010111000100100010000000110001000100000011111111010000000000000000000000100000001100010001000000111111110011111111000000000000000001110000000000100100001010010000000000000000000000100111000100100011000000110001000100000011111111010000000000000000000000110000001100010001000000111111110011111111000000000000000001110100000000100100001010010000000000000000000000000111000100100010000000001001000100000011111111010000000000000000000000100000000010010001000000111111110011111111000000000000000001110000000010100100001010010000000000000000000000111011000100100011000000001001000100000011111111010000000000000000000000110000000010010001000000111111110011111111000000000000000001110100000010100100001010010000000000000000000000011011000100100010000000101001000100000011111111010000000000000000000000100000001010010001000000111111110011111111000000000000000001110000000001100100001010010000000000000000000000101011000100100011000000101001000100000011111111010000000000000000000000110000001010010001000000111111110011111111000000000000000001110100000001100100001010010000000000000000000000001011000100100010000000011001000100000011111111010000000000000000000000100000000110010001000000111111110011111111000000000000000001110000000011100100001010010000000000000000000000110011000100100011000000011001000100000011111111010000000000000000000000110000000110010001000000111111110011111111000000000000000001110100000011100100001010010000000000000000000000010011000100100010000000111001000100000011111111010000000000000000000000100000001110010001000000111111110011111111000000000000000001110000000000010100001010010000000000000000000000100011000100100011000000111001000100000011111111010000000000000000000000110000001110010001000000111111110011111111000000000000000001110100000000010100001010010000000000000000000000000011000100100010000000000101000100000011111111010000000000000000000000100000000001010001000000111111110011111111000000000000000001110000000010010100001010010000000000000000000000111101000100100011000000000101000100000011111111010000000000000000000000110000000001010001000000111111110011111111000000000000000001110100000010010100001010010000000000000000000000011101000100100010000000100101000100000011111111010000000000000000000000100000001001010001000000111111110011111111000000000000000001110000000001010100001010010000000000000000000000101101000100100011000000100101000100000011111111010000000000000000000000110000001001010001000000111111110011111111000000000000000001110100000001010100001010010000000000000000000000001101000100100010000000010101000100000011111111010000000000000000000000100000000101010001000000111111110011111111000000000000000001110000000011010100001010010000000000000000000000110101000100100011000000010101000100000011111111010000000000000000000000110000000101010001000000111111110011111111000000000000000001110100000011010100001010010000000000000000000000010101000100100010000000110101000100000011111111010000000000000000000000100000001101010001000000111111110011111111000000000000000001110000000000110100001010010000000000000000000000100101000100100011000000110101000100000011111111010000000000000000000000110000001101010001000000111111110011111111000000000000000001110100000000110100001010010000000000000000000000000101000100100010000000001101000100000011111111010000000000000000000000100000000011010001000000111111110011111111000000000000000001110000000010110100001010010000000000000000000000111001000100100011000000001101000100000011111111010000000000000000000000110000000011010001000000111111110011111111000000000000000001110100000010110100001010010000000000000000000000011001000100100010000000101101000100000011111111010000000000000000000000100000001011010001000000111111110011111111000000000000000001110000000001110100001010010000000000000000000000101001000100100011000000101101000100000011111111010000000000000000000000110000001011010001000000111111110011111111000000000000000001110100000001110100001010010000000000000000000000001001000100100010000000011101000100000011111111010000000000000000000000100000000111010001000000111111110011111111000000000000000001110000000011110100001010010000000000000000000000110001000100100011000000011101000100000011111111010000000000000000000000110000000111010001000000111111110011111111000000000000000001110100000011110100001010010000000000000000000000010001000100100010000000111101000100000011111111010000000000000000000000100000001111010001000000111111110011111111000000000000000001110000000000001100001010010000000000000000000000100001000100100011000000111101000100000011111111010000000000000000000000110000001111010001000000111111110011111111000000000000000001110100000000001100001010010000000000000000000000000001000100100010000000000011000100000011111111010000000000000000000000100000000000110001000000111111110011111111000000000000000001110000000010001100001010010000000000000000000000111110000100100011000000000011000100000011111111010000000000000000000000110000000000110001000000111111110011111111000000000000000001110100000010001100001010010000000000000000000000011110000100100010000000100011000100000011111111010000000000000000000000100000001000110001000000111111110011111111000000000000000001110000000001001100001010010000000000000000000000101110000100100011000000100011000100000011111111010000000000000000000000110000001000110001000000111111110011111111000000000000000001110100000001001100001010010000000000000000000000001110000100100010000000010011000100000011111111010000000000000000000000100000000100110001000000111111110011111111000000000000000001110000000011001100001010010000000000000000000000110110000100100011000000010011000100000011111111010000000000000000000000110000000100110001000000111111110011111111000000000000000001110100000011001100001010010000000000000000000000010110000100100010000000110011000100000011111111010000000000000000000000100000001100110001000000111111110011111111000000000000000001110000000000101100001010010000000000000000000000100110000100100011000000110011000100000011111111010000000000000000000000110000001100110001000000111111110011111111000000000000000001110100000000101100001010010000000000000000000000000110000100100010000000001011000100000011111111010000000000000000000000100000000010110001000000111111110011111111000000000000000001110000000010101100001010010000000000000000000000111010000100100011000000001011000100000011111111010000000000000000000000110000000010110001000000111111110011111111000000000000000001110100000010101100001010010000000000000000000000011010000100100010000000101011000100000011111111010000000000000000000000100000001010110001000000111111110011111111000000000000000001110000000001101100001010010000000000000000000000101010000100100011000000101011000100000011111111010000000000000000000000110000001010110001000000111111110011111111000000000000000001110100000001101100001010010000000000000000000000001010000100100010000000011011000100000011111111010000000000000000000000100000000110110001000000111111110011111111000000000000000001110000000011101100001010010000000000000000000000110010000100100011000000011011000100000011111111010000000000000000000000110000000110110001000000111111110011111111000000000000000001110100000011101100001010010000000000000000000000010010000100100010000000111011000100000011111111010000000000000000000000100000001110110001000000111111110011111111000000000000000001110000000000011100001010010000000000000000000000100010000100100011000000111011000100000011111111010000000000000000000000110000001110110001000000111111110011111111000000000000000001110100000000011100001010010000000000000000000000000010000100100010000000000111000100000011111111010000000000000000000000100000000001110001000000111111110011111111000000000000000001110000000010011100001010010000000000000000000000111100000100100011000000000111000100000011111111010000000000000000000000110000000001110001000000111111110011111111000000000000000001110100000010011100001010010000000000000000000000011100000100100010000000100111000100000011111111010000000000000000000000100000001001110001000000111111110011111111000000000000000001110000000001011100001010010000000000000000000000101100000100100011000000100111000100000011111111010000000000000000000000110000001001110001000000111111110011111111000000000000000001110100000001011100001010010000000000000000000000001100000100100010000000010111000100000011111111010000000000000000000000100000000101110001000000111111110011111111000000000000000001110000000011011100001010010000000000000000000000110100000100100011000000010111000100000011111111010000000000000000000000110000000101110001000000111111110011111111000000000000000001110100000011011100001010010000000000000000000000010100000100100010000000110111000100000011111111010000000000000000000000100000001101110001000000111111110011111111000000000000000001110000000000111100001010010000000000000000000000100100000100100011000000110111000100000011111111010000000000000000000000110000001101110001000000111111110011111111000000000000000001110100000000111100001010010000000000000000000000000100000100100010000000001111000100000011111111010000000000000000000000100000000011110001000000111111110011111111000000000000000001110000000010111100001010010000000000000000000000111000000100100011000000001111000100000011111111010000000000000000000000110000000011110001000000111111110011111111000000000000000001110100000010111100001010010000000000000000000000011000000100100010000000101111000100000011111111010000000000000000000000100000001011110001000000111111110011111111000000000000000001110000000001111100001010010000000000000000000000101000000100100011000000101111000100000011111111010000000000000000000000110000001011110001000000111111110011111111000000000000000001110100000001111100001010010000000000000000000000001000000100100010000000011111000100000011111111010000000000000000000000100000000111110001000000111111110011111111000000000000000001110000000011111100000000010000000000000000000000110000000101100011000000011111000100000011111111010000000000000000000000110000000111110001000000111111110011111111000000000000000001110100000011111100001010010000000000000000000000010000000100100010000000111111000100000011111111010000000000000000000000100000001111110001000000111111110011111111000000000000000001110000000000000010001010010000000000000000000000100000000100100011000000111111000100000011111111010000000000000000000100110000001111110001000000111111110011111111000000000000000001110100000000000010001010010000000000000000000000000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010000010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010110100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010110100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010110100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000100000110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110000000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111010000000000000000000100100000000000001001000000111111110011111111000000000000000001110000000010000010001010010000000000000000000100111111100100100011000000000000100100000011111111010000000000000000000100110000000000001001000000111111110011111111000000000000000001110100000010000010001010010000000000000000000100011111100100100010000000100000100100000011111111010000000000000000000100100000001000001001000000111111110011111111000000000000000001110000000001000010001010010000000000000000000100101111100100100011000000100000100100000011111111010000000000000000000100110000001000001001000000111111110011111111000000000000000001110100000001000010001010010000000000000000000100001111100100100010000000010000100100000011111111010000000000000000000100100000000100001001000000111111110011111111000000000000000001110000000011000010001010010000000000000000000100110111100100100011000000010000100100000011111111010000000000000000000100110000000100001001000000111111110011111111000000000000000001110100000011000010001010010000000000000000000100010111100100100010000000110000100100000011111111010000000000000000000100100000001100001001000000111111110011111111000000000000000001110000000000100010001010010000000000000000000100100111100100100011000000110000100100000011111111010000000000000000000100110000001100001001000000111111110011111111000000000000000001110100000000100010001010010000000000000000000100000111100100100010000000001000100100000011111111010000000000000000000100100000000010001001000000111111110011111111000000000000000001110000000010100010001010010000000000000000000100111011100100100011000000001000100100000011111111010000000000000000000100110000000010001001000000111111110011111111000000000000000001110100000010100010001010010000000000000000000100011011100100100010000000101000100100000011111111010000000000000000000100100000001010001001000000111111110011111111000000000000000001110000000001100010001010010000000000000000000100101011100100100011000000101000100100000011111111010000000000000000000100110000001010001001000000111111110011111111000000000000000001110100000001100010001010010000000000000000000100001011100100100010000000011000100100000011111111010000000000000000000100100000000110001001000000111111110011111111000000000000000001110000000011100010001010010000000000000000000100110011100100100011000000011000100100000011111111010000000000000000000100110000000110001001000000111111110011111111000000000000000001110100000011100010001010010000000000000000000100010011100100100010000000111000100100000011111111010000000000000000000100100000001110001001000000111111110011111111000000000000000001110000000000010010001010010000000000000000000100100011100100100011000000111000100100000011111111010000000000000000000100110000001110001001000000111111110011111111000000000000000001110100000000010010001010010000000000000000000100000011100100100010000000000100100100000011111111010000000000000000000100100000000001001001000000111111110011111111000000000000000001110000000010010010001010010000000000000000000100111101100100100011000000000100100100000011111111010000000000000000000100110000000001001001000000111111110011111111000000000000000001110100000010010010001010010000000000000000000100011101100100100010000000100100100100000011111111010000000000000000000100100000001001001001000000111111110011111111000000000000000001110000000001010010001010010000000000000000000100101101100100100011000000100100100100000011111111010000000000000000000100110000001001001001000000111111110011111111000000000000000001110100000001010010001010010000000000000000000100001101100100100010000000010100100100000011111111010000000000000000000100100000000101001001000000111111110011111111000000000000000001110000000011010010001010010000000000000000000100110101100100100011000000010100100100000011111111010000000000000000000100110000000101001001000000111111110011111111000000000000000001110100000011010010001010010000000000000000000100010101100100100010000000110100100100000011111111010000000000000000000100100000001101001001000000111111110011111111000000000000000001110000000000110010001010010000000000000000000100100101100100100011000000110100100100000011111111010000000000000000000100110000001101001001000000111111110011111111000000000000000001110100000000110010001010010000000000000000000100000101100100100010000000001100100100000011111111010000000000000000000100100000000011001001000000111111110011111111000000000000000001110000000010110010001010010000000000000000000100111001100100100011000000001100100100000011111111010000000000000000000100110000000011001001000000111111110011111111000000000000000001110100000010110010001010010000000000000000000100011001100100100010000000101100100100000011111111010000000000000000000100100000001011001001000000111111110011111111000000000000000001110000000001110010001010010000000000000000000100101001100100100011000000101100100100000011111111010000000000000000000100110000001011001001000000111111110011111111000000000000000001110100000001110010001010010000000000000000000100001001100100100010000000011100100100000011111111010000000000000000000100100000000111001001000000111111110011111111000000000000000001110000000011110010001010010000000000000000000100110001100100100011000000011100100100000011111111010000000000000000000100110000000111001001000000111111110011111111000000000000000001110100000011110010001010010000000000000000000100010001100100100010000000111100100100000011111111010000000000000000000100100000001111001001000000111111110011111111000000000000000001110000000000001010001010010000000000000000000100100001100100100011000000111100100100000011111111010000000000000000000100110000001111001001000000111111110011111111000000000000000001110100000000001010001010010000000000000000000100000001100100100010000000000010100100000011111111010000000000000000000100100000000000101001000000111111110011111111000000000000000001110000000010001010001010010000000000000000000100111110100100100011000000000010100100000011111111010000000000000000000100110000000000101001000000111111110011111111000000000000000001110100000010001010001010010000000000000000000100011110100100100010000000100010100100000011111111010000000000000000000100100000001000101001000000111111110011111111000000000000000001110000000001001010001010010000000000000000000100101110100100100011000000100010100100000011111111010000000000000000000100110000001000101001000000111111110011111111000000000000000001110100000001001010001010010000000000000000000100001110100100100010000000010010100100000011111111010000000000000000000100100000000100101001000000111111110011111111000000000000000001110000000011001010001010010000000000000000000100110110100100100011000000010010100100000011111111010000000000000000000100110000000100101001000000111111110011111111000000000000000001110100000011001010001010010000000000000000000100010110100100100010000000110010100100000011111111010000000000000000000100100000001100101001000000111111110011111111000000000000000001110000000000101010001010010000000000000000000100100110100100100011000000110010100100000011111111010000000000000000000100110000001100101001000000111111110011111111000000000000000001110100000000101010001010010000000000000000000100000110100100100010000000001010100100000011111111010000000000000000000100100000000010101001000000111111110011111111000000000000000001110000000010101010001010010000000000000000000100111010100100100011000000001010100100000011111111010000000000000000000100110000000010101001000000111111110011111111000000000000000001110100000010101010001010010000000000000000000100011010100100100010000000101010100100000011111111010000000000000000000100100000001010101001000000111111110011111111000000000000000001110000000001101010001010010000000000000000000100101010100100100011000000101010100100000011111111010000000000000000000100110000001010101001000000111111110011111111000000000000000001110100000001101010001010010000000000000000000100001010100100100010000000011010100100000011111111010000000000000000000100100000000110101001000000111111110011111111000000000000000001110000000011101010001010010000000000000000000100110010100100100011000000011010100100000011111111010000000000000000000100110000000110101001000000111111110011111111000000000000000001110100000011101010001010010000000000000000000100010010100100100010000000111010100100000011111111010000000000000000000100100000001110101001000000111111110011111111000000000000000001110000000000011010001010010000000000000000000100100010100100100011000000111010100100000011111111010000000000000000000100110000001110101001000000111111110011111111000000000000000001110100000000011010001010010000000000000000000100000010100100100010000000000110100100000011111111010000000000000000000100100000000001101001000000111111110011111111000000000000000001110000000010011010001010010000000000000000000100111100100100100011000000000110100100000011111111010000000000000000000100110000000001101001000000111111110011111111000000000000000001110100000010011010001010010000000000000000000100011100100100100010000000100110100100000011111111010000000000000000000100100000001001101001000000111111110011111111000000000000000001110000000001011010001010010000000000000000000100101100100100100011000000100110100100000011111111010000000000000000000100110000001001101001000000111111110011111111000000000000000001110100000001011010001010010000000000000000000100001100100100100010000000010110100100000011111111010000000000000000000100100000000101101001000000111111110011111111000000000000000001110000000011011010001010010000000000000000000100110100100100100011000000010110100100000011111111010000000000000000000100110000000101101001000000111111110011111111000000000000000001110100000011011010001010010000000000000000000100010100100100100010000000110110100100000011111111010000000000000000000100100000001101101001000000111111110011111111000000000000000001110000000000111010001010010000000000000000000100100100100100100011000000110110100100000011111111010000000000000000000100110000001101101001000000111111110011111111000000000000000001110100000000111010001010010000000000000000000100000100100100100010000000001110100100000011111111010000000000000000000100100000000011101001000000111111110011111111000000000000000001110000000010111010001010010000000000000000000100111000100100100011000000001110100100000011111111010000000000000000000100110000000011101001000000111111110011111111000000000000000001110100000010111010001010010000000000000000000100011000100100100010000000101110100100000011111111010000000000000000000100100000001011101001000000111111110011111111000000000000000001110000000001111010001010010000000000000000000100101000100100100011000000101110100100000011111111010000000000000000000100110000001011101001000000111111110011111111000000000000000001110100000001111010001010010000000000000000000100001000100100100010000000011110100100000011111111010000000000000000000100100000000111101001000000111111110011111111000000000000000001110000000011111010001010010000000000000000000100110000100100100011000000011110100100000011111111010000000000000000000100110000000111101001000000111111110011111111000000000000000001110100000011111010001010010000000000000000000100010000100100100010000000111110100100000011111111010000000000000000000100100000001111101001000000111111110011111111000000000000000001110000000000000110001010010000000000000000000100100000100100100011000000111110100100000011111111010000000000000000000100110000001111101001000000111111110011111111000000000000000001110100000000000110001010010000000000000000000100000000100100100010000000000001100100000011111111010000000000000000000100100000000000011001000000111111110011111111000000000000000001110000000010000110001010010000000000000000000100111111000100100011000000000001100100000011111111010000000000000000000100110000000000011001000000111111110011111111000000000000000001110100000010000110001010010000000000000000000100011111000100100010000000100001100100000011111111010000000000000000000100100000001000011001000000111111110011111111000000000000000001110000000001000110001010010000000000000000000100101111000100100011000000100001100100000011111111010000000000000000000100110000001000011001000000111111110011111111000000000000000001110100000001000110001010010000000000000000000100001111000100100010000000010001100100000011111111010000000000000000000100100000000100011001000000111111110011111111000000000000000001110000000011000110001010010000000000000000000100110111000100100011000000010001100100000011111111010000000000000000000100110000000100011001000000111111110011111111000000000000000001110100000011000110001010010000000000000000000100010111000100100010000000110001100100000011111111010000000000000000000100100000001100011001000000111111110011111111000000000000000001110000000000100110001010010000000000000000000100100111000100100011000000110001100100000011111111010000000000000000000100110000001100011001000000111111110011111111000000000000000001110100000000100110001010010000000000000000000100000111000100100010000000001001100100000011111111010000000000000000000100100000000010011001000000111111110011111111000000000000000001110000000010100110001010010000000000000000000100111011000100100011000000001001100100000011111111010000000000000000000100110000000010011001000000111111110011111111000000000000000001110100000010100110001010010000000000000000000100011011000100100010000000101001100100000011111111010000000000000000000100100000001010011001000000111111110011111111000000000000000001110000000001100110001010010000000000000000000100101011000100100011000000101001100100000011111111010000000000000000000100110000001010011001000000111111110011111111000000000000000001110100000001100110001010010000000000000000000100001011000100100010000000011001100100000011111111010000000000000000000100100000000110011001000000111111110011111111000000000000000001110000000011100110001010010000000000000000000100110011000100100011000000011001100100000011111111010000000000000000000100110000000110011001000000111111110011111111000000000000000001110100000011100110001010010000000000000000000100010011000100100010000000111001100100000011111111010000000000000000000100100000001110011001000000111111110011111111000000000000000001110000000000010110001010010000000000000000000100100011000100100011000000111001100100000011111111010000000000000000000100110000001110011001000000111111110011111111000000000000000001110100000000010110001010010000000000000000000100000011000100100010000000000101100100000011111111010000000000000000000100100000000001011001000000111111110011111111000000000000000001110000000010010110001010010000000000000000000100111101000100100011000000000101100100000011111111010000000000000000000100110000000001011001000000111111110011111111000000000000000001110100000010010110001010010000000000000000000100011101000100100010000000100101100100000011111111010000000000000000000100100000001001011001000000111111110011111111000000000000000001110000000001010110001010010000000000000000000100101101000100100011000000100101100100000011111111010000000000000000000100110000001001011001000000111111110011111111000000000000000001110100000001010110001010010000000000000000000100001101000100100010000000010101100100000011111111010000000000000000000100100000000101011001000000111111110011111111000000000000000001110000000011010110001010010000000000000000000100110101000100100011000000010101100100000011111111010000000000000000000100110000000101011001000000111111110011111111000000000000000001110100000011010110001010010000000000000000000100010101000100100010000000110101100100000011111111010000000000000000000100100000001101011001000000111111110011111111000000000000000001110000000000110110001010010000000000000000000100100101000100100011000000110101100100000011111111010000000000000000000100110000001101011001000000111111110011111111000000000000000001110100000000110110001010010000000000000000000100000101000100100010000000001101100100000011111111010000000000000000000100100000000011011001000000111111110011111111000000000000000001110000000010110110001010010000000000000000000100111001000100100011000000001101100100000011111111010000000000000000000100110000000011011001000000111111110011111111000000000000000001110100000010110110001010010000000000000000000100011001000100100010000000101101100100000011111111010000000000000000000100100000001011011001000000111111110011111111000000000000000001110000000001110110001010010000000000000000000100101001000100100011000000101101100100000011111111010000000000000000000100110000001011011001000000111111110011111111000000000000000001110100000001110110001010010000000000000000000100001001000100100010000000011101100100000011111111010000000000000000000100100000000111011001000000111111110011111111000000000000000001110000000011110110001010010000000000000000000100110001000100100011000000011101100100000011111111010000000000000000000100110000000111011001000000111111110011111111000000000000000001110100000011110110001010010000000000000000000100010001000100100010000000111101100100000011111111010000000000000000000100100000001111011001000000111111110011111111000000000000000001110000000000001110001010010000000000000000000100100001000100100011000000111101100100000011111111010000000000000000000100110000001111011001000000111111110011111111000000000000000001110100000000001110001010010000000000000000000100000001000100100010000000000011100100000011111111010000000000000000000100100000000000111001000000111111110011111111000000000000000001110000000010001110001010010000000000000000000100111110000100100011000000000011100100000011111111010000000000000000000100110000000000111001000000111111110011111111000000000000000001110100000010001110001010010000000000000000000100011110000100100010000000100011100100000011111111010000000000000000000100100000001000111001000000111111110011111111000000000000000001110000000001001110001010010000000000000000000100101110000100100011000000100011100100000011111111010000000000000000000100110000001000111001000000111111110011111111000000000000000001110100000001001110001010010000000000000000000100001110000100100010000000010011100100000011111111010000000000000000000100100000000100111001000000111111110011111111000000000000000001110000000011001110001010010000000000000000000100110110000100100011000000010011100100000011111111010000000000000000000100110000000100111001000000111111110011111111000000000000000001110100000011001110001010010000000000000000000100010110000100100010000000110011100100000011111111010000000000000000000100100000001100111001000000111111110011111111000000000000000001110000000000101110001010010000000000000000000100100110000100100011000000110011100100000011111111010000000000000000000100110000001100111001000000111111110011111111000000000000000001110100000000101110001010010000000000000000000100000110000100100010000000001011100100000011111111010000000000000000000100100000000010111001000000111111110011111111000000000000000001110000000010101110001010010000000000000000000100111010000100100011000000001011100100000011111111010000000000000000000100110000000010111001000000111111110011111111000000000000000001110100000010101110001010010000000000000000000100011010000100100010000000101011100100000011111111010000000000000000000100100000001010111001000000111111110011111111000000000000000001110000000001101110001010010000000000000000000100101010000100100011000000101011100100000011111111010000000000000000000100110000001010111001000000111111110011111111000000000000000001110100000001101110001010010000000000000000000100001010000100100010000000011011100100000011111111010000000000000000000100100000000110111001000000111111110011111111000000000000000001110000000011101110001010010000000000000000000100110010000100100011000000011011100100000011111111010000000000000000000100110000000110111001000000111111110011111111000000000000000001110100000011101110001010010000000000000000000100010010000100100010000000111011100100000011111111010000000000000000000100100000001110111001000000111111110011111111000000000000000001110000000000011110001010010000000000000000000100100010000100100011000000111011100100000011111111010000000000000000000100110000001110111001000000111111110011111111000000000000000001110100000000011110001010010000000000000000000100000010000100100010000000000111100100000011111111010000000000000000000100100000000001111001000000111111110011111111000000000000000001110000000010011110001010010000000000000000000100111100000100100011000000000111100100000011111111010000000000000000000100110000000001111001000000111111110011111111000000000000000001110100000010011110001010010000000000000000000100011100000100100010000000100111100100000011111111010000000000000000000100100000001001111001000000111111110011111111000000000000000001110000000001011110001010010000000000000000000100101100000100100011000000100111100100000011111111010000000000000000000100110000001001111001000000111111110011111111000000000000000001110100000001011110001010010000000000000000000100001100000100100010000000010111100100000011111111010000000000000000000100100000000101111001000000111111110011111111000000000000000001110000000011011110001010010000000000000000000100110100000100100011000000010111100100000011111111010000000000000000000100110000000101111001000000111111110011111111000000000000000001110100000011011110001010010000000000000000000100010100000100100010000000110111100100000011111111010000000000000000000100100000001101111001000000111111110011111111000000000000000001110000000000111110001010010000000000000000000100100100000100100011000000110111100100000011111111010000000000000000000100110000001101111001000000111111110011111111000000000000000001110100000000111110001010010000000000000000000100000100000100100010000000001111100100000011111111010000000000000000000100100000000011111001000000111111110011111111000000000000000001110000000010111110001010010000000000000000000100111000000100100011000000001111100100000011111111010000000000000000000100110000000011111001000000111111110011111111000000000000000001110100000010111110001010010000000000000000000100011000000100100010000000101111100100000011111111010000000000000000000100100000001011111001000000111111110011111111000000000000000001110000000001111110001010010000000000000000000100101000000100100011000000101111100100000011111111010000000000000000000100110000001011111001000000111111110011111111000000000000000001110100000001111110001010010000000000000000000100001000000100100010000000011111100100000011111111010000000000000000000100100000000111111001000000111111110011111111000000000000000001110000000011111110000000010000000000000000000100110000000101100011000000011111100100000011111111010000000000000000000100110000000111111001000000111111110011111111000000000000000001110100000011111110001010010000000000000000000100010000000100100010000000111111100100000011111111010000000000000000000100100000001111111001000000111111110011111111000000000000000001110000000000000000001010010000000000000000000100100000000100100011000000111111100100000011111111010000000000000000000010110000001111111001000000111111110011111111000000000000000001110100000000000000001010010000000000000000000100000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000100000000000001110100000000000000000010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010110100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010110100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010110100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000000110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110000000000000001001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000001001010010000000000000000000010000000010100100010000000000000010100000011111111010000000000000000000010100000000000000101000000111111110011111111000000000000000001110000000010000001001010010000000000000000000010111111100100100011000000000000010100000011111111010000000000000000000010110000000000000101000000111111110011111111000000000000000001110100000010000001001010010000000000000000000010011111100100100010000000100000010100000011111111010000000000000000000010100000001000000101000000111111110011111111000000000000000001110000000001000001001010010000000000000000000010101111100100100011000000100000010100000011111111010000000000000000000010110000001000000101000000111111110011111111000000000000000001110100000001000001001010010000000000000000000010001111100100100010000000010000010100000011111111010000000000000000000010100000000100000101000000111111110011111111000000000000000001110000000011000001001010010000000000000000000010110111100100100011000000010000010100000011111111010000000000000000000010110000000100000101000000111111110011111111000000000000000001110100000011000001001010010000000000000000000010010111100100100010000000110000010100000011111111010000000000000000000010100000001100000101000000111111110011111111000000000000000001110000000000100001001010010000000000000000000010100111100100100011000000110000010100000011111111010000000000000000000010110000001100000101000000111111110011111111000000000000000001110100000000100001001010010000000000000000000010000111100100100010000000001000010100000011111111010000000000000000000010100000000010000101000000111111110011111111000000000000000001110000000010100001001010010000000000000000000010111011100100100011000000001000010100000011111111010000000000000000000010110000000010000101000000111111110011111111000000000000000001110100000010100001001010010000000000000000000010011011100100100010000000101000010100000011111111010000000000000000000010100000001010000101000000111111110011111111000000000000000001110000000001100001001010010000000000000000000010101011100100100011000000101000010100000011111111010000000000000000000010110000001010000101000000111111110011111111000000000000000001110100000001100001001010010000000000000000000010001011100100100010000000011000010100000011111111010000000000000000000010100000000110000101000000111111110011111111000000000000000001110000000011100001001010010000000000000000000010110011100100100011000000011000010100000011111111010000000000000000000010110000000110000101000000111111110011111111000000000000000001110100000011100001001010010000000000000000000010010011100100100010000000111000010100000011111111010000000000000000000010100000001110000101000000111111110011111111000000000000000001110000000000010001001010010000000000000000000010100011100100100011000000111000010100000011111111010000000000000000000010110000001110000101000000111111110011111111000000000000000001110100000000010001001010010000000000000000000010000011100100100010000000000100010100000011111111010000000000000000000010100000000001000101000000111111110011111111000000000000000001110000000010010001001010010000000000000000000010111101100100100011000000000100010100000011111111010000000000000000000010110000000001000101000000111111110011111111000000000000000001110100000010010001001010010000000000000000000010011101100100100010000000100100010100000011111111010000000000000000000010100000001001000101000000111111110011111111000000000000000001110000000001010001001010010000000000000000000010101101100100100011000000100100010100000011111111010000000000000000000010110000001001000101000000111111110011111111000000000000000001110100000001010001001010010000000000000000000010001101100100100010000000010100010100000011111111010000000000000000000010100000000101000101000000111111110011111111000000000000000001110000000011010001001010010000000000000000000010110101100100100011000000010100010100000011111111010000000000000000000010110000000101000101000000111111110011111111000000000000000001110100000011010001001010010000000000000000000010010101100100100010000000110100010100000011111111010000000000000000000010100000001101000101000000111111110011111111000000000000000001110000000000110001001010010000000000000000000010100101100100100011000000110100010100000011111111010000000000000000000010110000001101000101000000111111110011111111000000000000000001110100000000110001001010010000000000000000000010000101100100100010000000001100010100000011111111010000000000000000000010100000000011000101000000111111110011111111000000000000000001110000000010110001001010010000000000000000000010111001100100100011000000001100010100000011111111010000000000000000000010110000000011000101000000111111110011111111000000000000000001110100000010110001001010010000000000000000000010011001100100100010000000101100010100000011111111010000000000000000000010100000001011000101000000111111110011111111000000000000000001110000000001110001001010010000000000000000000010101001100100100011000000101100010100000011111111010000000000000000000010110000001011000101000000111111110011111111000000000000000001110100000001110001001010010000000000000000000010001001100100100010000000011100010100000011111111010000000000000000000010100000000111000101000000111111110011111111000000000000000001110000000011110001001010010000000000000000000010110001100100100011000000011100010100000011111111010000000000000000000010110000000111000101000000111111110011111111000000000000000001110100000011110001001010010000000000000000000010010001100100100010000000111100010100000011111111010000000000000000000010100000001111000101000000111111110011111111000000000000000001110000000000001001001010010000000000000000000010100001100100100011000000111100010100000011111111010000000000000000000010110000001111000101000000111111110011111111000000000000000001110100000000001001001010010000000000000000000010000001100100100010000000000010010100000011111111010000000000000000000010100000000000100101000000111111110011111111000000000000000001110000000010001001001010010000000000000000000010111110100100100011000000000010010100000011111111010000000000000000000010110000000000100101000000111111110011111111000000000000000001110100000010001001001010010000000000000000000010011110100100100010000000100010010100000011111111010000000000000000000010100000001000100101000000111111110011111111000000000000000001110000000001001001001010010000000000000000000010101110100100100011000000100010010100000011111111010000000000000000000010110000001000100101000000111111110011111111000000000000000001110100000001001001001010010000000000000000000010001110100100100010000000010010010100000011111111010000000000000000000010100000000100100101000000111111110011111111000000000000000001110000000011001001001010010000000000000000000010110110100100100011000000010010010100000011111111010000000000000000000010110000000100100101000000111111110011111111000000000000000001110100000011001001001010010000000000000000000010010110100100100010000000110010010100000011111111010000000000000000000010100000001100100101000000111111110011111111000000000000000001110000000000101001001010010000000000000000000010100110100100100011000000110010010100000011111111010000000000000000000010110000001100100101000000111111110011111111000000000000000001110100000000101001001010010000000000000000000010000110100100100010000000001010010100000011111111010000000000000000000010100000000010100101000000111111110011111111000000000000000001110000000010101001001010010000000000000000000010111010100100100011000000001010010100000011111111010000000000000000000010110000000010100101000000111111110011111111000000000000000001110100000010101001001010010000000000000000000010011010100100100010000000101010010100000011111111010000000000000000000010100000001010100101000000111111110011111111000000000000000001110000000001101001001010010000000000000000000010101010100100100011000000101010010100000011111111010000000000000000000010110000001010100101000000111111110011111111000000000000000001110100000001101001001010010000000000000000000010001010100100100010000000011010010100000011111111010000000000000000000010100000000110100101000000111111110011111111000000000000000001110000000011101001001010010000000000000000000010110010100100100011000000011010010100000011111111010000000000000000000010110000000110100101000000111111110011111111000000000000000001110100000011101001001010010000000000000000000010010010100100100010000000111010010100000011111111010000000000000000000010100000001110100101000000111111110011111111000000000000000001110000000000011001001010010000000000000000000010100010100100100011000000111010010100000011111111010000000000000000000010110000001110100101000000111111110011111111000000000000000001110100000000011001001010010000000000000000000010000010100100100010000000000110010100000011111111010000000000000000000010100000000001100101000000111111110011111111000000000000000001110000000010011001001010010000000000000000000010111100100100100011000000000110010100000011111111010000000000000000000010110000000001100101000000111111110011111111000000000000000001110100000010011001001010010000000000000000000010011100100100100010000000100110010100000011111111010000000000000000000010100000001001100101000000111111110011111111000000000000000001110000000001011001001010010000000000000000000010101100100100100011000000100110010100000011111111010000000000000000000010110000001001100101000000111111110011111111000000000000000001110100000001011001001010010000000000000000000010001100100100100010000000010110010100000011111111010000000000000000000010100000000101100101000000111111110011111111000000000000000001110000000011011001001010010000000000000000000010110100100100100011000000010110010100000011111111010000000000000000000010110000000101100101000000111111110011111111000000000000000001110100000011011001001010010000000000000000000010010100100100100010000000110110010100000011111111010000000000000000000010100000001101100101000000111111110011111111000000000000000001110000000000111001001010010000000000000000000010100100100100100011000000110110010100000011111111010000000000000000000010110000001101100101000000111111110011111111000000000000000001110100000000111001001010010000000000000000000010000100100100100010000000001110010100000011111111010000000000000000000010100000000011100101000000111111110011111111000000000000000001110000000010111001001010010000000000000000000010111000100100100011000000001110010100000011111111010000000000000000000010110000000011100101000000111111110011111111000000000000000001110100000010111001001010010000000000000000000010011000100100100010000000101110010100000011111111010000000000000000000010100000001011100101000000111111110011111111000000000000000001110000000001111001001010010000000000000000000010101000100100100011000000101110010100000011111111010000000000000000000010110000001011100101000000111111110011111111000000000000000001110100000001111001001010010000000000000000000010001000100100100010000000011110010100000011111111010000000000000000000010100000000111100101000000111111110011111111000000000000000001110000000011111001001010010000000000000000000010110000100100100011000000011110010100000011111111010000000000000000000010110000000111100101000000111111110011111111000000000000000001110100000011111001001010010000000000000000000010010000100100100010000000111110010100000011111111010000000000000000000010100000001111100101000000111111110011111111000000000000000001110000000000000101001010010000000000000000000010100000100100100011000000111110010100000011111111010000000000000000000010110000001111100101000000111111110011111111000000000000000001110100000000000101001010010000000000000000000010000000100100100010000000000001010100000011111111010000000000000000000010100000000000010101000000111111110011111111000000000000000001110000000010000101001010010000000000000000000010111111000100100011000000000001010100000011111111010000000000000000000010110000000000010101000000111111110011111111000000000000000001110100000010000101001010010000000000000000000010011111000100100010000000100001010100000011111111010000000000000000000010100000001000010101000000111111110011111111000000000000000001110000000001000101001010010000000000000000000010101111000100100011000000100001010100000011111111010000000000000000000010110000001000010101000000111111110011111111000000000000000001110100000001000101001010010000000000000000000010001111000100100010000000010001010100000011111111010000000000000000000010100000000100010101000000111111110011111111000000000000000001110000000011000101001010010000000000000000000010110111000100100011000000010001010100000011111111010000000000000000000010110000000100010101000000111111110011111111000000000000000001110100000011000101001010010000000000000000000010010111000100100010000000110001010100000011111111010000000000000000000010100000001100010101000000111111110011111111000000000000000001110000000000100101001010010000000000000000000010100111000100100011000000110001010100000011111111010000000000000000000010110000001100010101000000111111110011111111000000000000000001110100000000100101001010010000000000000000000010000111000100100010000000001001010100000011111111010000000000000000000010100000000010010101000000111111110011111111000000000000000001110000000010100101001010010000000000000000000010111011000100100011000000001001010100000011111111010000000000000000000010110000000010010101000000111111110011111111000000000000000001110100000010100101001010010000000000000000000010011011000100100010000000101001010100000011111111010000000000000000000010100000001010010101000000111111110011111111000000000000000001110000000001100101001010010000000000000000000010101011000100100011000000101001010100000011111111010000000000000000000010110000001010010101000000111111110011111111000000000000000001110100000001100101001010010000000000000000000010001011000100100010000000011001010100000011111111010000000000000000000010100000000110010101000000111111110011111111000000000000000001110000000011100101001010010000000000000000000010110011000100100011000000011001010100000011111111010000000000000000000010110000000110010101000000111111110011111111000000000000000001110100000011100101001010010000000000000000000010010011000100100010000000111001010100000011111111010000000000000000000010100000001110010101000000111111110011111111000000000000000001110000000000010101001010010000000000000000000010100011000100100011000000111001010100000011111111010000000000000000000010110000001110010101000000111111110011111111000000000000000001110100000000010101001010010000000000000000000010000011000100100010000000000101010100000011111111010000000000000000000010100000000001010101000000111111110011111111000000000000000001110000000010010101001010010000000000000000000010111101000100100011000000000101010100000011111111010000000000000000000010110000000001010101000000111111110011111111000000000000000001110100000010010101001010010000000000000000000010011101000100100010000000100101010100000011111111010000000000000000000010100000001001010101000000111111110011111111000000000000000001110000000001010101001010010000000000000000000010101101000100100011000000100101010100000011111111010000000000000000000010110000001001010101000000111111110011111111000000000000000001110100000001010101001010010000000000000000000010001101000100100010000000010101010100000011111111010000000000000000000010100000000101010101000000111111110011111111000000000000000001110000000011010101001010010000000000000000000010110101000100100011000000010101010100000011111111010000000000000000000010110000000101010101000000111111110011111111000000000000000001110100000011010101001010010000000000000000000010010101000100100010000000110101010100000011111111010000000000000000000010100000001101010101000000111111110011111111000000000000000001110000000000110101001010010000000000000000000010100101000100100011000000110101010100000011111111010000000000000000000010110000001101010101000000111111110011111111000000000000000001110100000000110101001010010000000000000000000010000101000100100010000000001101010100000011111111010000000000000000000010100000000011010101000000111111110011111111000000000000000001110000000010110101001010010000000000000000000010111001000100100011000000001101010100000011111111010000000000000000000010110000000011010101000000111111110011111111000000000000000001110100000010110101001010010000000000000000000010011001000100100010000000101101010100000011111111010000000000000000000010100000001011010101000000111111110011111111000000000000000001110000000001110101001010010000000000000000000010101001000100100011000000101101010100000011111111010000000000000000000010110000001011010101000000111111110011111111000000000000000001110100000001110101001010010000000000000000000010001001000100100010000000011101010100000011111111010000000000000000000010100000000111010101000000111111110011111111000000000000000001110000000011110101001010010000000000000000000010110001000100100011000000011101010100000011111111010000000000000000000010110000000111010101000000111111110011111111000000000000000001110100000011110101001010010000000000000000000010010001000100100010000000111101010100000011111111010000000000000000000010100000001111010101000000111111110011111111000000000000000001110000000000001101001010010000000000000000000010100001000100100011000000111101010100000011111111010000000000000000000010110000001111010101000000111111110011111111000000000000000001110100000000001101001010010000000000000000000010000001000100100010000000000011010100000011111111010000000000000000000010100000000000110101000000111111110011111111000000000000000001110000000010001101001010010000000000000000000010111110000100100011000000000011010100000011111111010000000000000000000010110000000000110101000000111111110011111111000000000000000001110100000010001101001010010000000000000000000010011110000100100010000000100011010100000011111111010000000000000000000010100000001000110101000000111111110011111111000000000000000001110000000001001101001010010000000000000000000010101110000100100011000000100011010100000011111111010000000000000000000010110000001000110101000000111111110011111111000000000000000001110100000001001101001010010000000000000000000010001110000100100010000000010011010100000011111111010000000000000000000010100000000100110101000000111111110011111111000000000000000001110000000011001101001010010000000000000000000010110110000100100011000000010011010100000011111111010000000000000000000010110000000100110101000000111111110011111111000000000000000001110100000011001101001010010000000000000000000010010110000100100010000000110011010100000011111111010000000000000000000010100000001100110101000000111111110011111111000000000000000001110000000000101101001010010000000000000000000010100110000100100011000000110011010100000011111111010000000000000000000010110000001100110101000000111111110011111111000000000000000001110100000000101101001010010000000000000000000010000110000100100010000000001011010100000011111111010000000000000000000010100000000010110101000000111111110011111111000000000000000001110000000010101101001010010000000000000000000010111010000100100011000000001011010100000011111111010000000000000000000010110000000010110101000000111111110011111111000000000000000001110100000010101101001010010000000000000000000010011010000100100010000000101011010100000011111111010000000000000000000010100000001010110101000000111111110011111111000000000000000001110000000001101101001010010000000000000000000010101010000100100011000000101011010100000011111111010000000000000000000010110000001010110101000000111111110011111111000000000000000001110100000001101101001010010000000000000000000010001010000100100010000000011011010100000011111111010000000000000000000010100000000110110101000000111111110011111111000000000000000001110000000011101101001010010000000000000000000010110010000100100011000000011011010100000011111111010000000000000000000010110000000110110101000000111111110011111111000000000000000001110100000011101101001010010000000000000000000010010010000100100010000000111011010100000011111111010000000000000000000010100000001110110101000000111111110011111111000000000000000001110000000000011101001010010000000000000000000010100010000100100011000000111011010100000011111111010000000000000000000010110000001110110101000000111111110011111111000000000000000001110100000000011101001010010000000000000000000010000010000100100010000000000111010100000011111111010000000000000000000010100000000001110101000000111111110011111111000000000000000001110000000010011101001010010000000000000000000010111100000100100011000000000111010100000011111111010000000000000000000010110000000001110101000000111111110011111111000000000000000001110100000010011101001010010000000000000000000010011100000100100010000000100111010100000011111111010000000000000000000010100000001001110101000000111111110011111111000000000000000001110000000001011101001010010000000000000000000010101100000100100011000000100111010100000011111111010000000000000000000010110000001001110101000000111111110011111111000000000000000001110100000001011101001010010000000000000000000010001100000100100010000000010111010100000011111111010000000000000000000010100000000101110101000000111111110011111111000000000000000001110000000011011101001010010000000000000000000010110100000100100011000000010111010100000011111111010000000000000000000010110000000101110101000000111111110011111111000000000000000001110100000011011101001010010000000000000000000010010100000100100010000000110111010100000011111111010000000000000000000010100000001101110101000000111111110011111111000000000000000001110000000000111101001010010000000000000000000010100100000100100011000000110111010100000011111111010000000000000000000010110000001101110101000000111111110011111111000000000000000001110100000000111101001010010000000000000000000010000100000100100010000000001111010100000011111111010000000000000000000010100000000011110101000000111111110011111111000000000000000001110000000010111101001010010000000000000000000010111000000100100011000000001111010100000011111111010000000000000000000010110000000011110101000000111111110011111111000000000000000001110100000010111101001010010000000000000000000010011000000100100010000000101111010100000011111111010000000000000000000010100000001011110101000000111111110011111111000000000000000001110000000001111101001010010000000000000000000010101000000100100011000000101111010100000011111111010000000000000000000010110000001011110101000000111111110011111111000000000000000001110100000001111101001010010000000000000000000010001000000100100010000000011111010100000011111111010000000000000000000010100000000111110101000000111111110011111111000000000000000001110000000011111101000000010000000000000000000010110000000101100011000000011111010100000011111111010000000000000000000010110000000111110101000000111111110011111111000000000000000001110100000011111101001010010000000000000000000010010000000100100010000000111111010100000011111111010000000000000000000010100000001111110101000000111111110011111111000000000000000001110000000000000011001010010000000000000000000010100000000100100011000000111111010100000011111111010000000000000000000110110000001111110101000000111111110011111111000000000000000001110100000000000011001010010000000000000000000010000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000100000000000001110100000000000011000010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010110100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010110100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010110100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000100000110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110000000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111010000000000000000000110100000000000001101000000111111110011111111000000000000000001110000000010000011001010010000000000000000000110111111100100100011000000000000110100000011111111010000000000000000000110110000000000001101000000111111110011111111000000000000000001110100000010000011001010010000000000000000000110011111100100100010000000100000110100000011111111010000000000000000000110100000001000001101000000111111110011111111000000000000000001110000000001000011001010010000000000000000000110101111100100100011000000100000110100000011111111010000000000000000000110110000001000001101000000111111110011111111000000000000000001110100000001000011001010010000000000000000000110001111100100100010000000010000110100000011111111010000000000000000000110100000000100001101000000111111110011111111000000000000000001110000000011000011001010010000000000000000000110110111100100100011000000010000110100000011111111010000000000000000000110110000000100001101000000111111110011111111000000000000000001110100000011000011001010010000000000000000000110010111100100100010000000110000110100000011111111010000000000000000000110100000001100001101000000111111110011111111000000000000000001110000000000100011001010010000000000000000000110100111100100100011000000110000110100000011111111010000000000000000000110110000001100001101000000111111110011111111000000000000000001110100000000100011001010010000000000000000000110000111100100100010000000001000110100000011111111010000000000000000000110100000000010001101000000111111110011111111000000000000000001110000000010100011001010010000000000000000000110111011100100100011000000001000110100000011111111010000000000000000000110110000000010001101000000111111110011111111000000000000000001110100000010100011001010010000000000000000000110011011100100100010000000101000110100000011111111010000000000000000000110100000001010001101000000111111110011111111000000000000000001110000000001100011001010010000000000000000000110101011100100100011000000101000110100000011111111010000000000000000000110110000001010001101000000111111110011111111000000000000000001110100000001100011001010010000000000000000000110001011100100100010000000011000110100000011111111010000000000000000000110100000000110001101000000111111110011111111000000000000000001110000000011100011001010010000000000000000000110110011100100100011000000011000110100000011111111010000000000000000000110110000000110001101000000111111110011111111000000000000000001110100000011100011001010010000000000000000000110010011100100100010000000111000110100000011111111010000000000000000000110100000001110001101000000111111110011111111000000000000000001110000000000010011001010010000000000000000000110100011100100100011000000111000110100000011111111010000000000000000000110110000001110001101000000111111110011111111000000000000000001110100000000010011001010010000000000000000000110000011100100100010000000000100110100000011111111010000000000000000000110100000000001001101000000111111110011111111000000000000000001110000000010010011001010010000000000000000000110111101100100100011000000000100110100000011111111010000000000000000000110110000000001001101000000111111110011111111000000000000000001110100000010010011001010010000000000000000000110011101100100100010000000100100110100000011111111010000000000000000000110100000001001001101000000111111110011111111000000000000000001110000000001010011001010010000000000000000000110101101100100100011000000100100110100000011111111010000000000000000000110110000001001001101000000111111110011111111000000000000000001110100000001010011001010010000000000000000000110001101100100100010000000010100110100000011111111010000000000000000000110100000000101001101000000111111110011111111000000000000000001110000000011010011001010010000000000000000000110110101100100100011000000010100110100000011111111010000000000000000000110110000000101001101000000111111110011111111000000000000000001110100000011010011001010010000000000000000000110010101100100100010000000110100110100000011111111010000000000000000000110100000001101001101000000111111110011111111000000000000000001110000000000110011001010010000000000000000000110100101100100100011000000110100110100000011111111010000000000000000000110110000001101001101000000111111110011111111000000000000000001110100000000110011001010010000000000000000000110000101100100100010000000001100110100000011111111010000000000000000000110100000000011001101000000111111110011111111000000000000000001110000000010110011001010010000000000000000000110111001100100100011000000001100110100000011111111010000000000000000000110110000000011001101000000111111110011111111000000000000000001110100000010110011001010010000000000000000000110011001100100100010000000101100110100000011111111010000000000000000000110100000001011001101000000111111110011111111000000000000000001110000000001110011001010010000000000000000000110101001100100100011000000101100110100000011111111010000000000000000000110110000001011001101000000111111110011111111000000000000000001110100000001110011001010010000000000000000000110001001100100100010000000011100110100000011111111010000000000000000000110100000000111001101000000111111110011111111000000000000000001110000000011110011001010010000000000000000000110110001100100100011000000011100110100000011111111010000000000000000000110110000000111001101000000111111110011111111000000000000000001110100000011110011001010010000000000000000000110010001100100100010000000111100110100000011111111010000000000000000000110100000001111001101000000111111110011111111000000000000000001110000000000001011001010010000000000000000000110100001100100100011000000111100110100000011111111010000000000000000000110110000001111001101000000111111110011111111000000000000000001110100000000001011001010010000000000000000000110000001100100100010000000000010110100000011111111010000000000000000000110100000000000101101000000111111110011111111000000000000000001110000000010001011001010010000000000000000000110111110100100100011000000000010110100000011111111010000000000000000000110110000000000101101000000111111110011111111000000000000000001110100000010001011001010010000000000000000000110011110100100100010000000100010110100000011111111010000000000000000000110100000001000101101000000111111110011111111000000000000000001110000000001001011001010010000000000000000000110101110100100100011000000100010110100000011111111010000000000000000000110110000001000101101000000111111110011111111000000000000000001110100000001001011001010010000000000000000000110001110100100100010000000010010110100000011111111010000000000000000000110100000000100101101000000111111110011111111000000000000000001110000000011001011001010010000000000000000000110110110100100100011000000010010110100000011111111010000000000000000000110110000000100101101000000111111110011111111000000000000000001110100000011001011001010010000000000000000000110010110100100100010000000110010110100000011111111010000000000000000000110100000001100101101000000111111110011111111000000000000000001110000000000101011001010010000000000000000000110100110100100100011000000110010110100000011111111010000000000000000000110110000001100101101000000111111110011111111000000000000000001110100000000101011001010010000000000000000000110000110100100100010000000001010110100000011111111010000000000000000000110100000000010101101000000111111110011111111000000000000000001110000000010101011001010010000000000000000000110111010100100100011000000001010110100000011111111010000000000000000000110110000000010101101000000111111110011111111000000000000000001110100000010101011001010010000000000000000000110011010100100100010000000101010110100000011111111010000000000000000000110100000001010101101000000111111110011111111000000000000000001110000000001101011001010010000000000000000000110101010100100100011000000101010110100000011111111010000000000000000000110110000001010101101000000111111110011111111000000000000000001110100000001101011001010010000000000000000000110001010100100100010000000011010110100000011111111010000000000000000000110100000000110101101000000111111110011111111000000000000000001110000000011101011001010010000000000000000000110110010100100100011000000011010110100000011111111010000000000000000000110110000000110101101000000111111110011111111000000000000000001110100000011101011001010010000000000000000000110010010100100100010000000111010110100000011111111010000000000000000000110100000001110101101000000111111110011111111000000000000000001110000000000011011001010010000000000000000000110100010100100100011000000111010110100000011111111010000000000000000000110110000001110101101000000111111110011111111000000000000000001110100000000011011001010010000000000000000000110000010100100100010000000000110110100000011111111010000000000000000000110100000000001101101000000111111110011111111000000000000000001110000000010011011001010010000000000000000000110111100100100100011000000000110110100000011111111010000000000000000000110110000000001101101000000111111110011111111000000000000000001110100000010011011001010010000000000000000000110011100100100100010000000100110110100000011111111010000000000000000000110100000001001101101000000111111110011111111000000000000000001110000000001011011001010010000000000000000000110101100100100100011000000100110110100000011111111010000000000000000000110110000001001101101000000111111110011111111000000000000000001110100000001011011001010010000000000000000000110001100100100100010000000010110110100000011111111010000000000000000000110100000000101101101000000111111110011111111000000000000000001110000000011011011001010010000000000000000000110110100100100100011000000010110110100000011111111010000000000000000000110110000000101101101000000111111110011111111000000000000000001110100000011011011001010010000000000000000000110010100100100100010000000110110110100000011111111010000000000000000000110100000001101101101000000111111110011111111000000000000000001110000000000111011001010010000000000000000000110100100100100100011000000110110110100000011111111010000000000000000000110110000001101101101000000111111110011111111000000000000000001110100000000111011001010010000000000000000000110000100100100100010000000001110110100000011111111010000000000000000000110100000000011101101000000111111110011111111000000000000000001110000000010111011001010010000000000000000000110111000100100100011000000001110110100000011111111010000000000000000000110110000000011101101000000111111110011111111000000000000000001110100000010111011001010010000000000000000000110011000100100100010000000101110110100000011111111010000000000000000000110100000001011101101000000111111110011111111000000000000000001110000000001111011001010010000000000000000000110101000100100100011000000101110110100000011111111010000000000000000000110110000001011101101000000111111110011111111000000000000000001110100000001111011001010010000000000000000000110001000100100100010000000011110110100000011111111010000000000000000000110100000000111101101000000111111110011111111000000000000000001110000000011111011001010010000000000000000000110110000100100100011000000011110110100000011111111010000000000000000000110110000000111101101000000111111110011111111000000000000000001110100000011111011001010010000000000000000000110010000100100100010000000111110110100000011111111010000000000000000000110100000001111101101000000111111110011111111000000000000000001110000000000000111001010010000000000000000000110100000100100100011000000111110110100000011111111010000000000000000000110110000001111101101000000111111110011111111000000000000000001110100000000000111001010010000000000000000000110000000100100100010000000000001110100000011111111010000000000000000000110100000000000011101000000111111110011111111000000000000000001110000000010000111001010010000000000000000000110111111000100100011000000000001110100000011111111010000000000000000000110110000000000011101000000111111110011111111000000000000000001110100000010000111001010010000000000000000000110011111000100100010000000100001110100000011111111010000000000000000000110100000001000011101000000111111110011111111000000000000000001110000000001000111001010010000000000000000000110101111000100100011000000100001110100000011111111010000000000000000000110110000001000011101000000111111110011111111000000000000000001110100000001000111001010010000000000000000000110001111000100100010000000010001110100000011111111010000000000000000000110100000000100011101000000111111110011111111000000000000000001110000000011000111001010010000000000000000000110110111000100100011000000010001110100000011111111010000000000000000000110110000000100011101000000111111110011111111000000000000000001110100000011000111001010010000000000000000000110010111000100100010000000110001110100000011111111010000000000000000000110100000001100011101000000111111110011111111000000000000000001110000000000100111001010010000000000000000000110100111000100100011000000110001110100000011111111010000000000000000000110110000001100011101000000111111110011111111000000000000000001110100000000100111001010010000000000000000000110000111000100100010000000001001110100000011111111010000000000000000000110100000000010011101000000111111110011111111000000000000000001110000000010100111001010010000000000000000000110111011000100100011000000001001110100000011111111010000000000000000000110110000000010011101000000111111110011111111000000000000000001110100000010100111001010010000000000000000000110011011000100100010000000101001110100000011111111010000000000000000000110100000001010011101000000111111110011111111000000000000000001110000000001100111001010010000000000000000000110101011000100100011000000101001110100000011111111010000000000000000000110110000001010011101000000111111110011111111000000000000000001110100000001100111001010010000000000000000000110001011000100100010000000011001110100000011111111010000000000000000000110100000000110011101000000111111110011111111000000000000000001110000000011100111001010010000000000000000000110110011000100100011000000011001110100000011111111010000000000000000000110110000000110011101000000111111110011111111000000000000000001110100000011100111001010010000000000000000000110010011000100100010000000111001110100000011111111010000000000000000000110100000001110011101000000111111110011111111000000000000000001110000000000010111001010010000000000000000000110100011000100100011000000111001110100000011111111010000000000000000000110110000001110011101000000111111110011111111000000000000000001110100000000010111001010010000000000000000000110000011000100100010000000000101110100000011111111010000000000000000000110100000000001011101000000111111110011111111000000000000000001110000000010010111001010010000000000000000000110111101000100100011000000000101110100000011111111010000000000000000000110110000000001011101000000111111110011111111000000000000000001110100000010010111001010010000000000000000000110011101000100100010000000100101110100000011111111010000000000000000000110100000001001011101000000111111110011111111000000000000000001110000000001010111001010010000000000000000000110101101000100100011000000100101110100000011111111010000000000000000000110110000001001011101000000111111110011111111000000000000000001110100000001010111001010010000000000000000000110001101000100100010000000010101110100000011111111010000000000000000000110100000000101011101000000111111110011111111000000000000000001110000000011010111001010010000000000000000000110110101000100100011000000010101110100000011111111010000000000000000000110110000000101011101000000111111110011111111000000000000000001110100000011010111001010010000000000000000000110010101000100100010000000110101110100000011111111010000000000000000000110100000001101011101000000111111110011111111000000000000000001110000000000110111001010010000000000000000000110100101000100100011000000110101110100000011111111010000000000000000000110110000001101011101000000111111110011111111000000000000000001110100000000110111001010010000000000000000000110000101000100100010000000001101110100000011111111010000000000000000000110100000000011011101000000111111110011111111000000000000000001110000000010110111001010010000000000000000000110111001000100100011000000001101110100000011111111010000000000000000000110110000000011011101000000111111110011111111000000000000000001110100000010110111001010010000000000000000000110011001000100100010000000101101110100000011111111010000000000000000000110100000001011011101000000111111110011111111000000000000000001110000000001110111001010010000000000000000000110101001000100100011000000101101110100000011111111010000000000000000000110110000001011011101000000111111110011111111000000000000000001110100000001110111001010010000000000000000000110001001000100100010000000011101110100000011111111010000000000000000000110100000000111011101000000111111110011111111000000000000000001110000000011110111001010010000000000000000000110110001000100100011000000011101110100000011111111010000000000000000000110110000000111011101000000111111110011111111000000000000000001110100000011110111001010010000000000000000000110010001000100100010000000111101110100000011111111010000000000000000000110100000001111011101000000111111110011111111000000000000000001110000000000001111001010010000000000000000000110100001000100100011000000111101110100000011111111010000000000000000000110110000001111011101000000111111110011111111000000000000000001110100000000001111001010010000000000000000000110000001000100100010000000000011110100000011111111010000000000000000000110100000000000111101000000111111110011111111000000000000000001110000000010001111001010010000000000000000000110111110000100100011000000000011110100000011111111010000000000000000000110110000000000111101000000111111110011111111000000000000000001110100000010001111001010010000000000000000000110011110000100100010000000100011110100000011111111010000000000000000000110100000001000111101000000111111110011111111000000000000000001110000000001001111001010010000000000000000000110101110000100100011000000100011110100000011111111010000000000000000000110110000001000111101000000111111110011111111000000000000000001110100000001001111001010010000000000000000000110001110000100100010000000010011110100000011111111010000000000000000000110100000000100111101000000111111110011111111000000000000000001110000000011001111001010010000000000000000000110110110000100100011000000010011110100000011111111010000000000000000000110110000000100111101000000111111110011111111000000000000000001110100000011001111001010010000000000000000000110010110000100100010000000110011110100000011111111010000000000000000000110100000001100111101000000111111110011111111000000000000000001110000000000101111001010010000000000000000000110100110000100100011000000110011110100000011111111010000000000000000000110110000001100111101000000111111110011111111000000000000000001110100000000101111001010010000000000000000000110000110000100100010000000001011110100000011111111010000000000000000000110100000000010111101000000111111110011111111000000000000000001110000000010101111001010010000000000000000000110111010000100100011000000001011110100000011111111010000000000000000000110110000000010111101000000111111110011111111000000000000000001110100000010101111001010010000000000000000000110011010000100100010000000101011110100000011111111010000000000000000000110100000001010111101000000111111110011111111000000000000000001110000000001101111001010010000000000000000000110101010000100100011000000101011110100000011111111010000000000000000000110110000001010111101000000111111110011111111000000000000000001110100000001101111001010010000000000000000000110001010000100100010000000011011110100000011111111010000000000000000000110100000000110111101000000111111110011111111000000000000000001110000000011101111001010010000000000000000000110110010000100100011000000011011110100000011111111010000000000000000000110110000000110111101000000111111110011111111000000000000000001110100000011101111001010010000000000000000000110010010000100100010000000111011110100000011111111010000000000000000000110100000001110111101000000111111110011111111000000000000000001110000000000011111001010010000000000000000000110100010000100100011000000111011110100000011111111010000000000000000000110110000001110111101000000111111110011111111000000000000000001110100000000011111001010010000000000000000000110000010000100100010000000000111110100000011111111010000000000000000000110100000000001111101000000111111110011111111000000000000000001110000000010011111001010010000000000000000000110111100000100100011000000000111110100000011111111010000000000000000000110110000000001111101000000111111110011111111000000000000000001110100000010011111001010010000000000000000000110011100000100100010000000100111110100000011111111010000000000000000000110100000001001111101000000111111110011111111000000000000000001110000000001011111001010010000000000000000000110101100000100100011000000100111110100000011111111010000000000000000000110110000001001111101000000111111110011111111000000000000000001110100000001011111001010010000000000000000000110001100000100100010000000010111110100000011111111010000000000000000000110100000000101111101000000111111110011111111000000000000000001110000000011011111001010010000000000000000000110110100000100100011000000010111110100000011111111010000000000000000000110110000000101111101000000111111110011111111000000000000000001110100000011011111001010010000000000000000000110010100000100100010000000110111110100000011111111010000000000000000000110100000001101111101000000111111110011111111000000000000000001110000000000111111001010010000000000000000000110100100000100100011000000110111110100000011111111010000000000000000000110110000001101111101000000111111110011111111000000000000000001110100000000111111001010010000000000000000000110000100000100100010000000001111110100000011111111010000000000000000000110100000000011111101000000111111110011111111000000000000000001110000000010111111001010010000000000000000000110111000000100100011000000001111110100000011111111010000000000000000000110110000000011111101000000111111110011111111000000000000000001110100000010111111001010010000000000000000000110011000000100100010000000101111110100000011111111010000000000000000000110100000001011111101000000111111110011111111000000000000000001110000000001111111001010010000000000000000000110101000000100100011000000101111110100000011111111010000000000000000000110110000001011111101000000111111110011111111000000000000000001110100000001111111001010010000000000000000000110001000000100100010000000011111110100000011111111010000000000000000000110100000000111111101000000111111110011111111000000000000000001110000000011111111000000010000000000000000000110110000000101100011000000011111110100000011111111010000000000000000000110110000000111111101000000111111110011111111000000000000000001110100000011111111001010010000000000000000000110010000000100100010000000111111110100000011111111010000000000000000000110100000001111111101000000111111110011111111000000000000000001110000000000000001001010010000000000000000000110100000000100100011000000111111110100000011111111010000000000000000000001110000001111111101000000111111110011111111000000000000000001110100000000000001001010010000000000000000000110100000000100000011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000</data>\r
+          <data global_temp="1" name="log: 2014/04/09 11:54:45  #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110110010101111101001010010111111111100000000011001011100100100010100101011111010101010111101111010111111111100000000011101001010111110101010101111011110011111111100000000000000001110010010111111101001010010111111111100000000011110011100100100011100101011111010101010111101111010111111111100000000011111001010111110101010101111011110011111111100000000000000001110110010111111101001010010111111111100000000011010011100100100010100101111111010101010111101111010111111111100000000011101001011111110101010101111011110011111111100000000000000001110010010100000011001010010111111111100000000011100011100100100011100101111111010101010111101111010111111111100000000011111001011111110101010101111011110011111111100000000000000001110110010100000011001010010111111111100000000011000011100100100010100101000000110101010111101111010111111111100000000011101001010000001101010101111011110011111111100000000000000001110010010110000011001010010111111111100000000011111101100100100011100101000000110101010111101111010111111111100000000011111001010000001101010101111011110011111111100000000000000001110110010110000011001010010111111111100000000011011101100100100010100101100000110101010111101111010111111111100000000011101001011000001101010101111011110011111111100000000000000001110010010101000011001010010111111111100000000011101101100100100011100101100000110101010111101111010111111111100000000011111001011000001101010101111011110011111111100000000000000001110110010101000011001010010111111111100000000011001101100100100010100101010000110101010111101111010111111111100000000011101001010100001101010101111011110011111111100000000000000001110010010111000011001010010111111111100000000011110101100100100011100101010000110101010111101111010111111111100000000011111001010100001101010101111011110011111111100000000000000001110110010111000011001010010111111111100000000011010101100100100010100101110000110101010111101111010111111111100000000011101001011100001101010101111011110011111111100000000000000001110010010100100011001010010111111111100000000011100101100100100011100101110000110101010111101111010111111111100000000011111001011100001101010101111011110011111111100000000000000001110110010100100011001010010111111111100000000011000101100100100010100101001000110101010111101111010111111111100000000011101001010010001101010101111011110011111111100000000000000001110010010110100011001010010111111111100000000011111001100100100011100101001000110101010111101111010111111111100000000011111001010010001101010101111011110011111111100000000000000001110110010110100011001010010111111111100000000011011001100100100010100101101000110101010111101111010111111111100000000011101001011010001101010101111011110011111111100000000000000001110010010101100011001010010111111111100000000011101001100100100011100101101000110101010111101111010111111111100000000011111001011010001101010101111011110011111111100000000000000001110110010101100011001010010111111111100000000011001001100100100010100101011000110101010111101111010111111111100000000011101001010110001101010101111011110011111111100000000000000001110010010111100011001010010111111111100000000011110001100100100011100101011000110101010111101111010111111111100000000011111001010110001101010101111011110011111111100000000000000001110110010111100011001010010111111111100000000011010001100100100010100101111000110101010111101111010111111111100000000011101001011110001101010101111011110011111111100000000000000001110010010100010011001010010111111111100000000011100001100100100011100101111000110101010111101111010111111111100000000011111001011110001101010101111011110011111111100000000000000001110110010100010011001010010111111111100000000011000001100100100010100101000100110101010111101111010111111111100000000011101001010001001101010101111011110011111111100000000000000001110010010110010011001010010111111111100000000011111110100100100011100101000100110101010111101111010111111111100000000011111001010001001101010101111011110011111111100000000000000001110110010110010011001010010111111111100000000011011110100100100010100101100100110101010111101111010111111111100000000011101001011001001101010101111011110011111111100000000000000001110010010101010011001010010111111111100000000011101110100100100011100101100100110101010111101111010111111111100000000011111001011001001101010101111011110011111111100000000000000001110110010101010011001010010111111111100000000011001110100100100010100101010100110101010111101111010111111111100000000011101001010101001101010101111011110011111111100000000000000001110010010111010011001010010111111111100000000011110110100100100011100101010100110101010111101111010111111111100000000011111001010101001101010101111011110011111111100000000000000001110110010111010011001010010111111111100000000011010110100100100010100101110100110101010111101111010111111111100000000011101001011101001101010101111011110011111111100000000000000001110010010100110011001010010111111111100000000011100110100100100011100101110100110101010111101111010111111111100000000011111001011101001101010101111011110011111111100000000000000001110110010100110011001010010111111111100000000011000110100100100010100101001100110101010111101111010111111111100000000011101001010011001101010101111011110011111111100000000000000001110010010110110011001010010111111111100000000011111010100100100011100101001100110101010111101111010111111111100000000011111001010011001101010101111011110011111111100000000000000001110110010110110011001010010111111111100000000011011010100100100010100101101100110101010111101111010111111111100000000011101001011011001101010101111011110011111111100000000000000001110010010101110011001010010111111111100000000011101010100100100011100101101100110101010111101111010111111111100000000011111001011011001101010101111011110011111111100000000000000001110110010101110011001010010111111111100000000011001010100100100010100101011100110101010111101111010111111111100000000011101001010111001101010101111011110011111111100000000000000001110010010111110011001010010111111111100000000011110010100100100011100101011100110101010111101111010111111111100000000011111001010111001101010101111011110011111111100000000000000001110110010111110011001010010111111111100000000011010010100100100010100101111100110101010111101111010111111111100000000011101001011111001101010101111011110011111111100000000000000001110010010100001011001010010111111111100000000011100010100100100011100101111100110101010111101111010111111111100000000011111001011111001101010101111011110011111111100000000000000001110110010100001011001010010111111111100000000011000010100100100010100101000010110101010111101111010111111111100000000011101001010000101101010101111011110011111111100000000000000001110010010110001011001010010111111111100000000011111100100100100011100101000010110101010111101111010111111111100000000011111001010000101101010101111011110011111111100000000000000001110110010110001011001010010111111111100000000011011100100100100010100101100010110101010111101111010111111111100000000011101001011000101101010101111011110011111111100000000000000001110010010101001011001010010111111111100000000011101100100100100011100101100010110101010111101111010111111111100000000011111001011000101101010101111011110011111111100000000000000001110110010101001011001010010111111111100000000011001100100100100010100101010010110101010111101111010111111111100000000011101001010100101101010101111011110011111111100000000000000001110010010111001011001010010111111111100000000011110100100100100011100101010010110101010111101111010111111111100000000011111001010100101101010101111011110011111111100000000000000001110110010111001011001010010111111111100000000011010100100100100010100101110010110101010111101111010111111111100000000011101001011100101101010101111011110011111111100000000000000001110010010100101011001010010111111111100000000011100100100100100011100101110010110101010111101111010111111111100000000011111001011100101101010101111011110011111111100000000000000001110110010100101011001010010111111111100000000011000100100100100010100101001010110101010111101111010111111111100000000011101001010010101101010101111011110011111111100000000000000001110010010110101011001010010111111111100000000011111000100100100011100101001010110101010111101111010111111111100000000011111001010010101101010101111011110011111111100000000000000001110110010110101011001010010111111111100000000011011000100100100010100101101010110101010111101111010111111111100000000011101001011010101101010101111011110011111111100000000000000001110010010101101011001010010111111111100000000011101000100100100011100101101010110101010111101111010111111111100000000011111001011010101101010101111011110011111111100000000000000001110110010101101011001010010111111111100000000011001000100100100010100101011010110101010111101111010111111111100000000011101001010110101101010101111011110011111111100000000000000001110010010111101011001010010111111111100000000011110000100100100011100101011010110101010111101111010111111111100000000011111001010110101101010101111011110011111111100000000000000001110110010111101011001010010111111111100000000011010000100100100010100101111010110101010111101111010111111111100000000011101001011110101101010101111011110011111111100000000000000001110010010100011011001010010111111111100000000011100000100100100011100101111010110101010111101111010111111111100000000011111001011110101101010101111011110011111111100000000000000001110110010100011011001010010111111111100000000011000000100100100010100101000110110101010111101111010111111111100000000011101001010001101101010101111011110011111111100000000000000001110010010110011011001010010111111111100000000011111111000100100011100101000110110101010111101111010111111111100000000011111001010001101101010101111011110011111111100000000000000001110110010110011011001010010111111111100000000011011111000100100010100101100110110101010111101111010111111111100000000011101001011001101101010101111011110011111111100000000000000001110010010101011011001010010111111111100000000011101111000100100011100101100110110101010111101111010111111111100000000011111001011001101101010101111011110011111111100000000000000001110110010101011011001010010111111111100000000011001111000100100010100101010110110101010111101111010111111111100000000011101001010101101101010101111011110011111111100000000000000001110010010111011011001010010111111111100000000011110111000100100011100101010110110101010111101111010111111111100000000011111001010101101101010101111011110011111111100000000000000001110110010111011011001010010111111111100000000011010111000100100010100101110110110101010111101111010111111111100000000011101001011101101101010101111011110011111111100000000000000001110010010100111011001010010111111111100000000011100111000100100011100101110110110101010111101111010111111111100000000011111001011101101101010101111011110011111111100000000000000001110110010100111011001010010111111111100000000011000111000100100010100101001110110101010111101111010111111111100000000011101001010011101101010101111011110011111111100000000000000001110010010110111011001010010111111111100000000011111011000100100011100101001110110101010111101111010111111111100000000011111001010011101101010101111011110011111111100000000000000001110110010110111011001010010111111111100000000011011011000100100010100101101110110101010111101111010111111111100000000011101001011011101101010101111011110011111111100000000000000001110010010101111011001010010111111111100000000011101011000100100011100101101110110101010111101111010111111111100000000011111001011011101101010101111011110011111111100000000000000001110110010101111011001010010111111111100000000011001011000100100010100101011110110101010111101111010111111111100000000011101001010111101101010101111011110011111111100000000000000001110010010111111011001010010111111111100000000011110011000100100011100101011110110101010111101111010111111111100000000011111001010111101101010101111011110011111111100000000000000001110110010111111011001010010111111111100000000011010011000100100010100101111110110101010111101111010111111111100000000011101001011111101101010101111011110011111111100000000000000001110010010100000111001010010111111111100000000011100011000100100011100101111110110101010111101111010111111111100000000011111001011111101101010101111011110011111111100000000000000001110110010100000111001010010111111111100000000011000011000100100010100101000001110101010111101111010111111111100000000011101001010000011101010101111011110011111111100000000000000001110010010110000111001010010111111111100000000011111101000100100011100101000001110101010111101111010111111111100000000011111001010000011101010101111011110011111111100000000000000001110110010110000111001010010111111111100000000011011101000100100010100101100001110101010111101111010111111111100000000011101001011000011101010101111011110011111111100000000000000001110010010101000111001010010111111111100000000011101101000100100011100101100001110101010111101111010111111111100000000011111001011000011101010101111011110011111111100000000000000001110110010101000111001010010111111111100000000011001101000100100010100101010001110101010111101111010111111111100000000011101001010100011101010101111011110011111111100000000000000001110010010111000111001010010111111111100000000011110101000100100011100101010001110101010111101111010111111111100000000011111001010100011101010101111011110011111111100000000000000001110110010111000111001010010111111111100000000011010101000100100010100101110001110101010111101111010111111111100000000011101001011100011101010101111011110011111111100000000000000001110010010100100111001010010111111111100000000011100101000100100011100101110001110101010111101111010111111111100000000011111001011100011101010101111011110011111111100000000000000001110110010100100111001010010111111111100000000011000101000100100010100101001001110101010111101111010111111111100000000011101001010010011101010101111011110011111111100000000000000001110010010110100111001010010111111111100000000011111001000100100011100101001001110101010111101111010111111111100000000011111001010010011101010101111011110011111111100000000000000001110110010110100111001010010111111111100000000011011001000100100010100101101001110101010111101111010111111111100000000011101001011010011101010101111011110011111111100000000000000001110010010101100111001010010111111111100000000011101001000100100011100101101001110101010111101111010111111111100000000011111001011010011101010101111011110011111111100000000000000001110110010101100111001010010111111111100000000011001001000100100010100101011001110101010111101111010111111111100000000011101001010110011101010101111011110011111111100000000000000001110010010111100111001010010111111111100000000011110001000100100011100101011001110101010111101111010111111111100000000011111001010110011101010101111011110011111111100000000000000001110110010111100111001010010111111111100000000011010001000100100010100101111001110101010111101111010111111111100000000011101001011110011101010101111011110011111111100000000000000001110010010100010111001010010111111111100000000011100001000100100011100101111001110101010111101111010111111111100000000011111001011110011101010101111011110011111111100000000000000001110110010100010111001010010111111111100000000011000001000100100010100101000101110101010111101111010111111111100000000011101001010001011101010101111011110011111111100000000000000001110010010110010111001010010111111111100000000011111110000100100011100101000101110101010111101111010111111111100000000011111001010001011101010101111011110011111111100000000000000001110110010110010111001010010111111111100000000011011110000100100010100101100101110101010111101111010111111111100000000011101001011001011101010101111011110011111111100000000000000001110010010101010111001010010111111111100000000011101110000100100011100101100101110101010111101111010111111111100000000011111001011001011101010101111011110011111111100000000000000001110110010101010111001010010111111111100000000011001110000100100010100101010101110101010111101111010111111111100000000011101001010101011101010101111011110011111111100000000000000001110010010111010111001010010111111111100000000011110110000100100011100101010101110101010111101111010111111111100000000011111001010101011101010101111011110011111111100000000000000001110110010111010111001010010111111111100000000011010110000100100010100101110101110101010111101111010111111111100000000011101001011101011101010101111011110011111111100000000000000001110010010100110111001010010111111111100000000011100110000100100011100101110101110101010111101111010111111111100000000011111001011101011101010101111011110011111111100000000000000001110110010100110111001010010111111111100000000011000110000100100010100101001101110101010111101111010111111111100000000011101001010011011101010101111011110011111111100000000000000001110010010110110111001010010111111111100000000011111010000100100011100101001101110101010111101111010111111111100000000011111001010011011101010101111011110011111111100000000000000001110110010110110111001010010111111111100000000011011010000100100010100101101101110101010111101111010111111111100000000011101001011011011101010101111011110011111111100000000000000001110010010101110111001010010111111111100000000011101010000100100011100101101101110101010111101111010111111111100000000011111001011011011101010101111011110011111111100000000000000001110110010101110111001010010111111111100000000011001010000100100010100101011101110101010111101111010111111111100000000011101001010111011101010101111011110011111111100000000000000001110010010111110111001010010111111111100000000011110010000100100011100101011101110101010111101111010111111111100000000011111001010111011101010101111011110011111111100000000000000001110110010111110111001010010111111111100000000011010010000100100010100101111101110101010111101111010111111111100000000011101001011111011101010101111011110011111111100000000000000001110010010100001111001010010111111111100000000011100010000100100011100101111101110101010111101111010111111111100000000011111001011111011101010101111011110011111111100000000000000001110110010100001111001010010111111111100000000011000010000100100010100101000011110101010111101111010111111111100000000011101001010000111101010101111011110011111111100000000000000001110010010110001111001010010111111111100000000011111100000100100011100101000011110101010111101111010111111111100000000011111001010000111101010101111011110011111111100000000000000001110110010110001111001010010111111111100000000011011100000100100010100101100011110101010111101111010111111111100000000011101001011000111101010101111011110011111111100000000000000001110010010101001111001010010111111111100000000011101100000100100011100101100011110101010111101111010111111111100000000011111001011000111101010101111011110011111111100000000000000001110110010101001111001010010111111111100000000011001100000100100010100101010011110101010111101111010111111111100000000011101001010100111101010101111011110011111111100000000000000001110010010111001111001010010111111111100000000011110100000100100011100101010011110101010111101111010111111111100000000011111001010100111101010101111011110011111111100000000000000001110110010111001111001010010111111111100000000011010100000100100010100101110011110101010111101111010111111111100000000011101001011100111101010101111011110011111111100000000000000001110010010100101111001010010111111111100000000011100100000100100011100101110011110101010111101111010111111111100000000011111001011100111101010101111011110011111111100000000000000001110110010100101111001010010111111111100000000011000100000100100010100101001011110101010111101111010111111111100000000011101001010010111101010101111011110011111111100000000000000001110010010110101111001010010111111111100000000011111000000100100011100101001011110101010111101111010111111111100000000011111001010010111101010101111011110011111111100000000000000001110110010110101111001010010111111111100000000011011000000100100010100101101011110101010111101111010111111111100000000011101001011010111101010101111011110011111111100000000000000001110010010101101111001010010111111111100000000011101000000100100011100101101011110101010111101111010111111111100000000011111001011010111101010101111011110011111111100000000000000001110110010101101111001010010111111111100000000011001000000100100010100101011011110101010111101111010111111111100000000011101001010110111101010101111011110011111111100000000000000001110010010111101111000000010111111111100000000011110000000101100011100101011011110101010111101111010111111111100000000011111001010110111101010101111011110011111111100000000000000001110110010111101111001010010111111111100000000011010000000100100010100101111011110101010111101111010111111111100000000011101001011110111101010101111011110011111111100000000000000001110010010100011110001010010111111111100000000011100000000100100011100101111011110101010111101111010000000000001000000000111001011110111101010101111011110011111111100000000000000001110110010100011110001010010111111111100000000011000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000101110110010100011110000010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000100110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110001010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110101010111101111001010010000000000001000000000000000001100100010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100100010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100</data>\r
           <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
         </log>\r
       </trigger>\r
   </instance>\r
   <mnemonics/>\r
   <static_plugin_mnemonics/>\r
+  <global_info>\r
+    <single attribute="active instance" value="0"/>\r
+    <single attribute="config widget visible" value="1"/>\r
+    <single attribute="data log widget visible" value="0"/>\r
+    <single attribute="hierarchy widget height" value="1"/>\r
+    <single attribute="hierarchy widget visible" value="0"/>\r
+    <single attribute="instance widget visible" value="1"/>\r
+    <single attribute="jtag widget visible" value="1"/>\r
+    <multi attribute="column width" size="23" value="34,34,198,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>\r
+    <multi attribute="frame size" size="2" value="1679,883"/>\r
+    <multi attribute="jtag widget size" size="2" value="334,270"/>\r
+  </global_info>\r
 </session>\r
index 699ecf3..5af8f6c 100644 (file)
@@ -4,7 +4,7 @@
   </display_tree>\r
   <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
-    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/02/14 19:02:01  #0">\r
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/04/09 11:52:04  #0">\r
       <clock name="dbg_mem_clk" polarity="posedge" tap_mode="classic"/>\r
       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="1024" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
@@ -69,7 +69,6 @@
           <wire name="sdram_rw:sdr_rw|wbm_cyc_o" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|wbm_stall_i" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|wbm_stb_o" tap_mode="classic"/>\r
-          <wire name="sdram_rw:sdr_rw|wbm_we_i" tap_mode="classic"/>\r
           <wire name="sdram_rw:sdr_rw|writing" tap_mode="classic"/>\r
         </trigger_input_vec>\r
         <data_input_vec>\r
       </signal_vec>\r
       <presentation>\r
         <unified_setup_data_view>\r
-          <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
-            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
-            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
-            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
-            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </node>\r
-          <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_r" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
           <node name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
-            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
-            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
-            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[8]" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
-            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[7]" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
-            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[6]" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
-            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[5]" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
-            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[4]" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
-            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[3]" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
-            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[2]" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
-            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
-            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
+            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
+            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
+            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
+            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </node>\r
           <node name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
-            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
-            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
-            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
-            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
-            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
-            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
-            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
-            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
-            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
-            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
-            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
-            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
-            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
-            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
-            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
-            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
+            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
+            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
+            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
+            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
+            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
+            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
+            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
+            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
+            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
+            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
+            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
+            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
+            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
+            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
+            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
+            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </node>\r
-          <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
-            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          <node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
+            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
-          <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
-          <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
-          <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
-          <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
-          <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
-          <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_cyc_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stb_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
           </node>\r
-          <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-          <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-          <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-          <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+          <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
+          <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+          <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+          <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+          <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </unified_setup_data_view>\r
         <data_view>\r
-          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </bus>\r
-          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_r" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
           <bus name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
-            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[8]" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[7]" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[6]" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[5]" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[4]" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[3]" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[2]" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
-            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
-            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </bus>\r
           <bus name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </bus>\r
-          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
-          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
-          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
-          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
-          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
-          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
-          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_cyc_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stb_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
           </bus>\r
-          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
+          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </data_view>\r
         <setup_view>\r
-          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="green_led" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="red_led" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+          <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
+          <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="52" type="combinatorial"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="51" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[20]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[19]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[18]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[17]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[16]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_dat_i" order="msb_to_lsb" state="collapse" type="combinatorial">\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|blen" order="msb_to_lsb" type="register">\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[8]" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[7]" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[6]" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[5]" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[4]" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[3]" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[2]" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[1]" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|blen[0]" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|blen[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
           </bus>\r
-          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_r" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
+          <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_r" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="50" type="register"/>\r
           <bus name="dram_addr" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[11]" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
-            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[10]" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[9]" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[8]" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[7]" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[6]" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[5]" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[4]" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[3]" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[2]" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
-            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[1]" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
-            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_addr[0]" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="output pin"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="output pin"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="output pin"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="output pin"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="output pin"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="output pin"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="output pin"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="output pin"/>\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
           </bus>\r
           <bus name="dram_dq" order="msb_to_lsb" type="bidir pin">\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="bidir pin"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="bidir pin"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="bidir pin"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="bidir pin"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="bidir pin"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="bidir pin"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="bidir pin"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="bidir pin"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="bidir pin"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="bidir pin"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="bidir pin"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="bidir pin"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="bidir pin"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="bidir pin"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="bidir pin"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
           </bus>\r
-          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" type="register">\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[21]" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[20]" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[19]" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[18]" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[17]" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[16]" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[15]" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[14]" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[13]" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[12]" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[11]" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[10]" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[9]" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[8]" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[7]" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[6]" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[5]" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[4]" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[3]" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[2]" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[1]" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_controller:sdr_ctrl|address_r[0]" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+          <bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
-          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
-          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
-          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
-          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
-          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
-          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
+          <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_cyc_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="56" type="combinatorial"/>\r
+          <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stb_o" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="58" type="combinatorial"/>\r
+          <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_stall_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="57" type="combinatorial"/>\r
+          <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
+          <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
+          <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
+          <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[13]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[12]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[11]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[10]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[9]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[8]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="dram_bank" order="msb_to_lsb" state="collapse" type="output pin">\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[1]" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="dram_bank[0]" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="output pin"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dram_bank[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="output pin"/>\r
           </bus>\r
-          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
-          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
-          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
-          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+          <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cas_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="output pin"/>\r
+          <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cke" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+          <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_clk" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="output pin"/>\r
+          <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_cs_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="output pin"/>\r
+          <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ldqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+          <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_ras_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+          <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_udqm" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+          <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_we_n" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="output pin"/>\r
         </setup_view>\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/02/14 19:09:31  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="FFBF163F" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/04/09 11:52:04  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'sdram_rw:sdr_rw|wbm_we_i' == falling edge\r
+          <level enabled="yes" name="condition1" type="basic">'red_led' == rising edge\r
             <power_up enabled="yes">\r
             </power_up><op_node/>\r
           </level>\r
         </events>\r
         <storage_qualifier_events>\r
-          <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
-            <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
+          <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001\r
+            <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001</pwr_up_transitional>\r
           </transitional>\r
           <storage_qualifier_level type="basic">\r
             <power_up>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: 2014/02/14 19:28:11  #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011100110110000000110111111111111111110100010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111100010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000010000000000000000000000100000000000000000000000000000000011110000011000000000000000110111111111111111101000010000000000000000000000011000000100111000000000100000000100000000000000010000000000000000000000100000000000000001000000000000000011110000011000000000000001110000000000000000001010010000000000000000000000101000000100111001000000100000000000000010000000010000000000000000000000100000000000000000000000100000000011110000011000000000000001110000000000000000001010010000000000000000000000001000000100111000000000010000000100000010000000010000000000000000000000100000000000000001000000100000000011110000011000000000000001110000000010000000001010010000000000000000000000110000000100111001000000010000000000000001000000010000000000000000000000100000000000000000000000010000000011110000011000000000000001110000000010000000001010010000000000000000000000010000000100111000000000110000000100000001000000010000000000000000000000100000000000000001000000010000000011110000011000000000000001110000000001000000001010010000000000000000000000100000000100111001000000110000000000000011000000010000000000000000000000100000000000000000000000110000000011110000011000000000000001110000000001000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000000000000100000000000000001000000110000000011110000011000000000000001110000000011000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000001000000100000000000000001000000110000000011110000011000000000000001110100000011000000010100010000000000000000000000000000000101100100000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000000100000100110000000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100101001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000000010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000010000000000000001000000100000000000000000000000001000000011110000011000001000000000110100000011000000001000010000000000000001000000011000000100111000000000101000000100000000100000010000000000000001000000100000000000000001000000001000000011110000011000000000000001110000000000100000001010010000000000000001000000101000000100111001000000101000000000000010100000010000000000000001000000100000000000000000000000101000000011110000011000000000000001110000000000100000001010010000000000000001000000001000000100111000000000011000000100000010100000010000000000000001000000100000000000000001000000101000000011110000011000000000000001110000000010100000001010010000000000000001000000110000000100111001000000011000000000000001100000010000000000000001000000100000000000000000000000011000000011110000011000000000000001110000000010100000001010010000000000000001000000010000000100111000000000111000000100000001100000010000000000000001000000100000000000000001000000011000000011110000011000000000000001110000000001100000001010010000000000000001000000100000000100111001000000111000000000000011100000010000000000000001000000100000000000000000000000111000000011110000011000000000000001110100000001100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000001000000100000000000000001000000111000000011110000011000000000000001110000000011100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000000100000100000000000000001000000111000000011110000011000000000000001110100000011100000010100010000000000000001000000000000000101000100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000000000100000011100000000000000000000000000000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000100000100101100000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000000010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000000110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110000000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000010000000000000000000000100000000000000001000000111000000011110000000000000000000001110000000010000000001010010000000000000000000000111000000100100011000000000000000100000011100000010000000000000000000000110000000000000001000000111000000011110000000000000000000001110100000010000000001010010000000000000000000000011000000100100010000000100000000100000011100000010000000000000000000000100000001000000001000000111000000011110000000000000000000001110000000001000000001010010000000000000000000000101000000100100011000000100000000100000011100000010000000000000000000000110000001000000001000000111000000011110000000000000000000001110100000001000000001010010000000000000000000000001000000100100010000000010000000100000011100000010000000000000000000000100000000100000001000000111000000011110000000000000000000001110000000011000000000000010000000000000000000000110000000101100011000000010000000100000011100000010000000000000000000000110000000100000001000000111000000011110000000000000000000001110100000011000000001010010000000000000000000000010000000100100010000000110000000100000011100000010000000000000000000000100000001100000001000000111000000011110000000000000000000001110000000000100000001010010000000000000000000000100000000100100011000000110000000100000011100000010000000000000001000000110000001100000001000000111000000011110000000000000000000001110100000000100000001010010000000000000000000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000000010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000001000000000110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110000000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000010000000000000001000000100000000010000001000000111000000011110000000000000000000001110000000010100000001010010000000000000001000000111000000100100011000000001000000100000011100000010000000000000001000000110000000010000001000000111000000011110000000000000000000001110100000010100000001010010000000000000001000000011000000100100010000000101000000100000011100000010000000000000001000000100000001010000001000000111000000011110000000000000000000001110000000001100000001010010000000000000001000000101000000100100011000000101000000100000011100000010000000000000001000000110000001010000001000000111000000011110000000000000000000001110100000001100000001010010000000000000001000000001000000100100010000000011000000100000011100000010000000000000001000000100000000110000001000000111000000011110000000000000000000001110000000011100000000000010000000000000001000000110000000101100011000000011000000100000011100000010000000000000001000000110000000110000001000000111000000011110000000000000000000001110100000011100000001010010000000000000001000000010000000100100010000000111000000100000011100000010000000000000001000000100000001110000001000000111000000011110000000000000000000001110000000000010000001010010000000000000001000000100000000100100011000000111000000100000011100000010000000000000000100000110000001110000001000000111000000011110000000000000000000001110100000000010000001010010000000000000001000000100000000100000011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000</data>\r
+          <data global_temp="1" name="log: 2014/04/09 11:54:45  #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110110010101111101001010010111111111100000000011001011100100100010100101011111010101010111101111010111111111100000000011101001010111110101010101111011110011111111100000000000000001110010010111111101001010010111111111100000000011110011100100100011100101011111010101010111101111010111111111100000000011111001010111110101010101111011110011111111100000000000000001110110010111111101001010010111111111100000000011010011100100100010100101111111010101010111101111010111111111100000000011101001011111110101010101111011110011111111100000000000000001110010010100000011001010010111111111100000000011100011100100100011100101111111010101010111101111010111111111100000000011111001011111110101010101111011110011111111100000000000000001110110010100000011001010010111111111100000000011000011100100100010100101000000110101010111101111010111111111100000000011101001010000001101010101111011110011111111100000000000000001110010010110000011001010010111111111100000000011111101100100100011100101000000110101010111101111010111111111100000000011111001010000001101010101111011110011111111100000000000000001110110010110000011001010010111111111100000000011011101100100100010100101100000110101010111101111010111111111100000000011101001011000001101010101111011110011111111100000000000000001110010010101000011001010010111111111100000000011101101100100100011100101100000110101010111101111010111111111100000000011111001011000001101010101111011110011111111100000000000000001110110010101000011001010010111111111100000000011001101100100100010100101010000110101010111101111010111111111100000000011101001010100001101010101111011110011111111100000000000000001110010010111000011001010010111111111100000000011110101100100100011100101010000110101010111101111010111111111100000000011111001010100001101010101111011110011111111100000000000000001110110010111000011001010010111111111100000000011010101100100100010100101110000110101010111101111010111111111100000000011101001011100001101010101111011110011111111100000000000000001110010010100100011001010010111111111100000000011100101100100100011100101110000110101010111101111010111111111100000000011111001011100001101010101111011110011111111100000000000000001110110010100100011001010010111111111100000000011000101100100100010100101001000110101010111101111010111111111100000000011101001010010001101010101111011110011111111100000000000000001110010010110100011001010010111111111100000000011111001100100100011100101001000110101010111101111010111111111100000000011111001010010001101010101111011110011111111100000000000000001110110010110100011001010010111111111100000000011011001100100100010100101101000110101010111101111010111111111100000000011101001011010001101010101111011110011111111100000000000000001110010010101100011001010010111111111100000000011101001100100100011100101101000110101010111101111010111111111100000000011111001011010001101010101111011110011111111100000000000000001110110010101100011001010010111111111100000000011001001100100100010100101011000110101010111101111010111111111100000000011101001010110001101010101111011110011111111100000000000000001110010010111100011001010010111111111100000000011110001100100100011100101011000110101010111101111010111111111100000000011111001010110001101010101111011110011111111100000000000000001110110010111100011001010010111111111100000000011010001100100100010100101111000110101010111101111010111111111100000000011101001011110001101010101111011110011111111100000000000000001110010010100010011001010010111111111100000000011100001100100100011100101111000110101010111101111010111111111100000000011111001011110001101010101111011110011111111100000000000000001110110010100010011001010010111111111100000000011000001100100100010100101000100110101010111101111010111111111100000000011101001010001001101010101111011110011111111100000000000000001110010010110010011001010010111111111100000000011111110100100100011100101000100110101010111101111010111111111100000000011111001010001001101010101111011110011111111100000000000000001110110010110010011001010010111111111100000000011011110100100100010100101100100110101010111101111010111111111100000000011101001011001001101010101111011110011111111100000000000000001110010010101010011001010010111111111100000000011101110100100100011100101100100110101010111101111010111111111100000000011111001011001001101010101111011110011111111100000000000000001110110010101010011001010010111111111100000000011001110100100100010100101010100110101010111101111010111111111100000000011101001010101001101010101111011110011111111100000000000000001110010010111010011001010010111111111100000000011110110100100100011100101010100110101010111101111010111111111100000000011111001010101001101010101111011110011111111100000000000000001110110010111010011001010010111111111100000000011010110100100100010100101110100110101010111101111010111111111100000000011101001011101001101010101111011110011111111100000000000000001110010010100110011001010010111111111100000000011100110100100100011100101110100110101010111101111010111111111100000000011111001011101001101010101111011110011111111100000000000000001110110010100110011001010010111111111100000000011000110100100100010100101001100110101010111101111010111111111100000000011101001010011001101010101111011110011111111100000000000000001110010010110110011001010010111111111100000000011111010100100100011100101001100110101010111101111010111111111100000000011111001010011001101010101111011110011111111100000000000000001110110010110110011001010010111111111100000000011011010100100100010100101101100110101010111101111010111111111100000000011101001011011001101010101111011110011111111100000000000000001110010010101110011001010010111111111100000000011101010100100100011100101101100110101010111101111010111111111100000000011111001011011001101010101111011110011111111100000000000000001110110010101110011001010010111111111100000000011001010100100100010100101011100110101010111101111010111111111100000000011101001010111001101010101111011110011111111100000000000000001110010010111110011001010010111111111100000000011110010100100100011100101011100110101010111101111010111111111100000000011111001010111001101010101111011110011111111100000000000000001110110010111110011001010010111111111100000000011010010100100100010100101111100110101010111101111010111111111100000000011101001011111001101010101111011110011111111100000000000000001110010010100001011001010010111111111100000000011100010100100100011100101111100110101010111101111010111111111100000000011111001011111001101010101111011110011111111100000000000000001110110010100001011001010010111111111100000000011000010100100100010100101000010110101010111101111010111111111100000000011101001010000101101010101111011110011111111100000000000000001110010010110001011001010010111111111100000000011111100100100100011100101000010110101010111101111010111111111100000000011111001010000101101010101111011110011111111100000000000000001110110010110001011001010010111111111100000000011011100100100100010100101100010110101010111101111010111111111100000000011101001011000101101010101111011110011111111100000000000000001110010010101001011001010010111111111100000000011101100100100100011100101100010110101010111101111010111111111100000000011111001011000101101010101111011110011111111100000000000000001110110010101001011001010010111111111100000000011001100100100100010100101010010110101010111101111010111111111100000000011101001010100101101010101111011110011111111100000000000000001110010010111001011001010010111111111100000000011110100100100100011100101010010110101010111101111010111111111100000000011111001010100101101010101111011110011111111100000000000000001110110010111001011001010010111111111100000000011010100100100100010100101110010110101010111101111010111111111100000000011101001011100101101010101111011110011111111100000000000000001110010010100101011001010010111111111100000000011100100100100100011100101110010110101010111101111010111111111100000000011111001011100101101010101111011110011111111100000000000000001110110010100101011001010010111111111100000000011000100100100100010100101001010110101010111101111010111111111100000000011101001010010101101010101111011110011111111100000000000000001110010010110101011001010010111111111100000000011111000100100100011100101001010110101010111101111010111111111100000000011111001010010101101010101111011110011111111100000000000000001110110010110101011001010010111111111100000000011011000100100100010100101101010110101010111101111010111111111100000000011101001011010101101010101111011110011111111100000000000000001110010010101101011001010010111111111100000000011101000100100100011100101101010110101010111101111010111111111100000000011111001011010101101010101111011110011111111100000000000000001110110010101101011001010010111111111100000000011001000100100100010100101011010110101010111101111010111111111100000000011101001010110101101010101111011110011111111100000000000000001110010010111101011001010010111111111100000000011110000100100100011100101011010110101010111101111010111111111100000000011111001010110101101010101111011110011111111100000000000000001110110010111101011001010010111111111100000000011010000100100100010100101111010110101010111101111010111111111100000000011101001011110101101010101111011110011111111100000000000000001110010010100011011001010010111111111100000000011100000100100100011100101111010110101010111101111010111111111100000000011111001011110101101010101111011110011111111100000000000000001110110010100011011001010010111111111100000000011000000100100100010100101000110110101010111101111010111111111100000000011101001010001101101010101111011110011111111100000000000000001110010010110011011001010010111111111100000000011111111000100100011100101000110110101010111101111010111111111100000000011111001010001101101010101111011110011111111100000000000000001110110010110011011001010010111111111100000000011011111000100100010100101100110110101010111101111010111111111100000000011101001011001101101010101111011110011111111100000000000000001110010010101011011001010010111111111100000000011101111000100100011100101100110110101010111101111010111111111100000000011111001011001101101010101111011110011111111100000000000000001110110010101011011001010010111111111100000000011001111000100100010100101010110110101010111101111010111111111100000000011101001010101101101010101111011110011111111100000000000000001110010010111011011001010010111111111100000000011110111000100100011100101010110110101010111101111010111111111100000000011111001010101101101010101111011110011111111100000000000000001110110010111011011001010010111111111100000000011010111000100100010100101110110110101010111101111010111111111100000000011101001011101101101010101111011110011111111100000000000000001110010010100111011001010010111111111100000000011100111000100100011100101110110110101010111101111010111111111100000000011111001011101101101010101111011110011111111100000000000000001110110010100111011001010010111111111100000000011000111000100100010100101001110110101010111101111010111111111100000000011101001010011101101010101111011110011111111100000000000000001110010010110111011001010010111111111100000000011111011000100100011100101001110110101010111101111010111111111100000000011111001010011101101010101111011110011111111100000000000000001110110010110111011001010010111111111100000000011011011000100100010100101101110110101010111101111010111111111100000000011101001011011101101010101111011110011111111100000000000000001110010010101111011001010010111111111100000000011101011000100100011100101101110110101010111101111010111111111100000000011111001011011101101010101111011110011111111100000000000000001110110010101111011001010010111111111100000000011001011000100100010100101011110110101010111101111010111111111100000000011101001010111101101010101111011110011111111100000000000000001110010010111111011001010010111111111100000000011110011000100100011100101011110110101010111101111010111111111100000000011111001010111101101010101111011110011111111100000000000000001110110010111111011001010010111111111100000000011010011000100100010100101111110110101010111101111010111111111100000000011101001011111101101010101111011110011111111100000000000000001110010010100000111001010010111111111100000000011100011000100100011100101111110110101010111101111010111111111100000000011111001011111101101010101111011110011111111100000000000000001110110010100000111001010010111111111100000000011000011000100100010100101000001110101010111101111010111111111100000000011101001010000011101010101111011110011111111100000000000000001110010010110000111001010010111111111100000000011111101000100100011100101000001110101010111101111010111111111100000000011111001010000011101010101111011110011111111100000000000000001110110010110000111001010010111111111100000000011011101000100100010100101100001110101010111101111010111111111100000000011101001011000011101010101111011110011111111100000000000000001110010010101000111001010010111111111100000000011101101000100100011100101100001110101010111101111010111111111100000000011111001011000011101010101111011110011111111100000000000000001110110010101000111001010010111111111100000000011001101000100100010100101010001110101010111101111010111111111100000000011101001010100011101010101111011110011111111100000000000000001110010010111000111001010010111111111100000000011110101000100100011100101010001110101010111101111010111111111100000000011111001010100011101010101111011110011111111100000000000000001110110010111000111001010010111111111100000000011010101000100100010100101110001110101010111101111010111111111100000000011101001011100011101010101111011110011111111100000000000000001110010010100100111001010010111111111100000000011100101000100100011100101110001110101010111101111010111111111100000000011111001011100011101010101111011110011111111100000000000000001110110010100100111001010010111111111100000000011000101000100100010100101001001110101010111101111010111111111100000000011101001010010011101010101111011110011111111100000000000000001110010010110100111001010010111111111100000000011111001000100100011100101001001110101010111101111010111111111100000000011111001010010011101010101111011110011111111100000000000000001110110010110100111001010010111111111100000000011011001000100100010100101101001110101010111101111010111111111100000000011101001011010011101010101111011110011111111100000000000000001110010010101100111001010010111111111100000000011101001000100100011100101101001110101010111101111010111111111100000000011111001011010011101010101111011110011111111100000000000000001110110010101100111001010010111111111100000000011001001000100100010100101011001110101010111101111010111111111100000000011101001010110011101010101111011110011111111100000000000000001110010010111100111001010010111111111100000000011110001000100100011100101011001110101010111101111010111111111100000000011111001010110011101010101111011110011111111100000000000000001110110010111100111001010010111111111100000000011010001000100100010100101111001110101010111101111010111111111100000000011101001011110011101010101111011110011111111100000000000000001110010010100010111001010010111111111100000000011100001000100100011100101111001110101010111101111010111111111100000000011111001011110011101010101111011110011111111100000000000000001110110010100010111001010010111111111100000000011000001000100100010100101000101110101010111101111010111111111100000000011101001010001011101010101111011110011111111100000000000000001110010010110010111001010010111111111100000000011111110000100100011100101000101110101010111101111010111111111100000000011111001010001011101010101111011110011111111100000000000000001110110010110010111001010010111111111100000000011011110000100100010100101100101110101010111101111010111111111100000000011101001011001011101010101111011110011111111100000000000000001110010010101010111001010010111111111100000000011101110000100100011100101100101110101010111101111010111111111100000000011111001011001011101010101111011110011111111100000000000000001110110010101010111001010010111111111100000000011001110000100100010100101010101110101010111101111010111111111100000000011101001010101011101010101111011110011111111100000000000000001110010010111010111001010010111111111100000000011110110000100100011100101010101110101010111101111010111111111100000000011111001010101011101010101111011110011111111100000000000000001110110010111010111001010010111111111100000000011010110000100100010100101110101110101010111101111010111111111100000000011101001011101011101010101111011110011111111100000000000000001110010010100110111001010010111111111100000000011100110000100100011100101110101110101010111101111010111111111100000000011111001011101011101010101111011110011111111100000000000000001110110010100110111001010010111111111100000000011000110000100100010100101001101110101010111101111010111111111100000000011101001010011011101010101111011110011111111100000000000000001110010010110110111001010010111111111100000000011111010000100100011100101001101110101010111101111010111111111100000000011111001010011011101010101111011110011111111100000000000000001110110010110110111001010010111111111100000000011011010000100100010100101101101110101010111101111010111111111100000000011101001011011011101010101111011110011111111100000000000000001110010010101110111001010010111111111100000000011101010000100100011100101101101110101010111101111010111111111100000000011111001011011011101010101111011110011111111100000000000000001110110010101110111001010010111111111100000000011001010000100100010100101011101110101010111101111010111111111100000000011101001010111011101010101111011110011111111100000000000000001110010010111110111001010010111111111100000000011110010000100100011100101011101110101010111101111010111111111100000000011111001010111011101010101111011110011111111100000000000000001110110010111110111001010010111111111100000000011010010000100100010100101111101110101010111101111010111111111100000000011101001011111011101010101111011110011111111100000000000000001110010010100001111001010010111111111100000000011100010000100100011100101111101110101010111101111010111111111100000000011111001011111011101010101111011110011111111100000000000000001110110010100001111001010010111111111100000000011000010000100100010100101000011110101010111101111010111111111100000000011101001010000111101010101111011110011111111100000000000000001110010010110001111001010010111111111100000000011111100000100100011100101000011110101010111101111010111111111100000000011111001010000111101010101111011110011111111100000000000000001110110010110001111001010010111111111100000000011011100000100100010100101100011110101010111101111010111111111100000000011101001011000111101010101111011110011111111100000000000000001110010010101001111001010010111111111100000000011101100000100100011100101100011110101010111101111010111111111100000000011111001011000111101010101111011110011111111100000000000000001110110010101001111001010010111111111100000000011001100000100100010100101010011110101010111101111010111111111100000000011101001010100111101010101111011110011111111100000000000000001110010010111001111001010010111111111100000000011110100000100100011100101010011110101010111101111010111111111100000000011111001010100111101010101111011110011111111100000000000000001110110010111001111001010010111111111100000000011010100000100100010100101110011110101010111101111010111111111100000000011101001011100111101010101111011110011111111100000000000000001110010010100101111001010010111111111100000000011100100000100100011100101110011110101010111101111010111111111100000000011111001011100111101010101111011110011111111100000000000000001110110010100101111001010010111111111100000000011000100000100100010100101001011110101010111101111010111111111100000000011101001010010111101010101111011110011111111100000000000000001110010010110101111001010010111111111100000000011111000000100100011100101001011110101010111101111010111111111100000000011111001010010111101010101111011110011111111100000000000000001110110010110101111001010010111111111100000000011011000000100100010100101101011110101010111101111010111111111100000000011101001011010111101010101111011110011111111100000000000000001110010010101101111001010010111111111100000000011101000000100100011100101101011110101010111101111010111111111100000000011111001011010111101010101111011110011111111100000000000000001110110010101101111001010010111111111100000000011001000000100100010100101011011110101010111101111010111111111100000000011101001010110111101010101111011110011111111100000000000000001110010010111101111000000010111111111100000000011110000000101100011100101011011110101010111101111010111111111100000000011111001010110111101010101111011110011111111100000000000000001110110010111101111001010010111111111100000000011010000000100100010100101111011110101010111101111010111111111100000000011101001011110111101010101111011110011111111100000000000000001110010010100011110001010010111111111100000000011100000000100100011100101111011110101010111101111010000000000001000000000111001011110111101010101111011110011111111100000000000000001110110010100011110001010010111111111100000000011000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000101110110010100011110000010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000100110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110001010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110101010111101111001010010000000000001000000000000000001100100010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100100010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100</data>\r
           <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
         </log>\r
       </trigger>\r
     </signal_set>\r
     <position_info>\r
       <single attribute="active tab" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="132"/>\r
+      <single attribute="data horizontal scroll position" value="42"/>\r
       <single attribute="data vertical scroll position" value="0"/>\r
       <single attribute="setup horizontal scroll position" value="0"/>\r
       <single attribute="setup vertical scroll position" value="4"/>\r
     <single attribute="hierarchy widget visible" value="0"/>\r
     <single attribute="instance widget visible" value="1"/>\r
     <single attribute="jtag widget visible" value="1"/>\r
-    <multi attribute="column width" size="23" value="34,34,210,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>\r
+    <multi attribute="column width" size="23" value="34,34,198,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>\r
     <multi attribute="frame size" size="2" value="1679,883"/>\r
     <multi attribute="jtag widget size" size="2" value="334,270"/>\r
   </global_info>\r
index 07fb11e..c235781 100644 (file)
@@ -81,11 +81,11 @@ architecture arc_sdram_rw of sdram_rw is
 \r
   --------------------------------  Constants -----------------------------------------\r
   \r
-  constant MAX_ADDR                    : natural := 512; --2097150;    -- 2,097,152 (-2 = 2,097,150, since we begin from 0) is the full 8 Mbytes of memory (2097152*32Bit*4Banks=67,108,864Bits)\r
-  constant W_TO_R_WAIT_TIME    : natural := 10; --9999999;     -- number of clock cycles between WRITE to READ\r
-  constant BURST_LENGTH                        : natural range 1 to 256 := 128; --Maximum is 256\r
-  constant ADDR_INC                            : natural := 128;       --Increment address by this value in each burst\r
-  constant INITIAL_MEM_VALUE   : std_logic_vector (15 downto 0) := conv_std_logic_vector(0, 16);--Initial memory value\r
+  constant MAX_ADDR                    : natural := 1 * 1024 * 1024;   -- 1Mbit is the full 8 Mbytes of memory (1M*16Bit*4Banks=6MBits = 8MB)\r
+  constant W_TO_R_WAIT_TIME    : natural := 10;                -- number of clock cycles between WRITE to READ\r
+  constant BURST_LENGTH                        : natural range 1 to 256 := 256; --Maximum is 256\r
+  constant ADDR_INC                            : natural := 256;                   --Increment address by this value in each burst\r
+  constant INITIAL_MEM_VALUE   : std_logic_vector (15 downto 0) := "1010010111110000"; --Initial memory value\r
   --------------------------------  Signals -----------------------------------------\r
 \r
   --Commands, Data and Address to SDRAM Controller\r
@@ -122,8 +122,8 @@ architecture arc_sdram_rw of sdram_rw is
   begin\r
        if (rst = reset_polarity) then\r
          state <= START_WRITE_ST;\r
-         red_led_r     <= '1';\r
-         green_led_r   <= '1';\r
+         red_led_r     <= '0';\r
+         green_led_r   <= '0';\r
        elsif rising_edge(clk_i) then\r
          case state is\r
                when START_WRITE_ST =>  --Initilize writing\r
@@ -133,7 +133,6 @@ architecture arc_sdram_rw of sdram_rw is
                        writing <= '1';\r
                        dat_o_r <= mem_value;\r
             red_led_r  <= '0';\r
-            green_led_r <= '0';\r
                \r
                when WRITE_ST =>        --Write value (16 bits) to SDRAM controller\r
                        cmd_r           <= '1';\r
@@ -189,26 +188,6 @@ architecture arc_sdram_rw of sdram_rw is
                        blen <= BURST_LENGTH;\r
                        wbm_tga_o <= conv_std_logic_vector(BURST_LENGTH-1, 8);\r
                \r
-               -- when WAIT_READ_ACK_ST =>     --Wait until controller has acknowledge - and read SDRAM value\r
-                 -- if (cmd_ack = '1') then\r
-                       -- state <= READ_BURST;\r
-                 -- end if;\r
-\r
-               -- when READ_BURST => --Burst read\r
-                 -- if (blen = 0) then\r
-                       -- state <= READ_WAIT_ST;\r
-                       -- addr_r <= addr_r + conv_std_logic_vector(ADDR_INC, 22); -- add 256\r
-                       -- mem_value <= mem_value + '1'; --Increment expected value\r
-                       -- blen <= 2; --CAS Delay is 3. Two more data are available\r
-                 -- elsif (wbm_ack_i ='1') and (blen > 0) then --Keep burst read\r
-                       -- if (wbm_dat_i /= mem_value) then --Compare between read value and expected value\r
-                               -- red_led_r <= '1';\r
-                               -- --state <= DONE_ST; --Test fail - abort Test\r
-                       -- end if;\r
-                       -- mem_value <= mem_value + '1'; --Increment expected value\r
-                       -- blen <= blen - 1;\r
-                 -- end if;\r
-               \r
                when READ_BURST => --Wait until end of valid data after burst   \r
                        if (wbm_stall_i = '1') then\r
                                null;\r
@@ -235,10 +214,13 @@ architecture arc_sdram_rw of sdram_rw is
                        end if;\r
             \r
                when DONE_ST => --Test done\r
-                       state <= DONE_ST;\r
                        if (red_led_r = '0') then\r
-                         green_led_r <= '1';\r
-                       end if;\r
+                green_led_r <= '1';\r
+                --repeat testing....\r
+                state <= START_WRITE_ST;\r
+                       else\r
+                state <= DONE_ST;\r
+            end if;\r
                \r
                when others =>\r
                \r