OSDN Git Service

VGA memory test success
authoryujiro_kaeko <zyangalianhamster01@gmail.com>
Thu, 7 Jul 2011 06:29:58 +0000 (15:29 +0900)
committeryujiro_kaeko <zyangalianhamster01@gmail.com>
Thu, 7 Jul 2011 06:29:58 +0000 (15:29 +0900)
Change-Id: Idfaaf702c1a8461a19d8e8b43d501bb364d5afec

VGADisplay/src/vga_top.nsl
VGADisplay/src/vram.nsh
VGADisplay/src/vram_ctrl.nsl

index e50b738..83fe482 100644 (file)
@@ -23,6 +23,7 @@ module vga_top {
        reg reset[3] = 0b111 ;\r
        reg line_cnt[15] = 0 ;\r
        reg line_cnt2[14] = 0 ;\r
+       \r
        reg vram_adrs_cnt[14] = 0 ;\r
        reg rSec_cnt[25] = 0 ;\r
        reg rInit_flag = 0 ;\r
@@ -60,14 +61,16 @@ module vga_top {
                if( U_EXP.foRd_ack ) {\r
                        rLED := {\r
 //                             U_EXP.oRdata[14],\r
-                               U_EXP.oRdata[12],\r
+//                             U_EXP.oRdata[12],\r
                                U_EXP.oRdata[10],\r
                                U_EXP.oRdata[8],\r
                                U_EXP.oRdata[6],\r
                                U_EXP.oRdata[4],\r
                                U_EXP.oRdata[2],\r
                                U_EXP.oRdata[0],\r
-                               test_LED\r
+                               test_LED,\r
+                               \r
+                               rInit_flag\r
                        } ;\r
                }\r
        \r
index 278cb0d..baa373d 100644 (file)
@@ -5,6 +5,7 @@ declare vram {
        input  data[8] ;
        input  rdaddress[14] ;
        input  wraddress[14] ;
+       input  rden ;
        input  wren ;
        output q[8] ;
-}
\ No newline at end of file
+}
index e07c26f..fc8c305 100644 (file)
@@ -35,7 +35,7 @@ module vram_ctrl{
        func fiRd_req seq {
                {
                        U_VRAM.rdaddress = iRadrs ;
-                       rRadrs_hld := iRadrs ;
+
                }
                {
                        U_VRAM.rdaddress = rRadrs_hld ;