OSDN Git Service
(root)
/
motonesfpga
/
motonesfpga.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
dfccb9b
)
ale bug fix..
author
astoria-d@fc
<astoria-d@fc>
Mon, 15 Aug 2016 08:40:10 +0000
(17:40 +0900)
committer
astoria-d@fc
<astoria-d@fc>
Mon, 15 Aug 2016 08:40:10 +0000
(17:40 +0900)
de1_nes/ppu/ppu_registers.vhd
patch
|
blob
|
history
diff --git
a/de1_nes/ppu/ppu_registers.vhd
b/de1_nes/ppu/ppu_registers.vhd
index
b09c366
..
d4cf0c6
100644
(file)
--- a/
de1_nes/ppu/ppu_registers.vhd
+++ b/
de1_nes/ppu/ppu_registers.vhd
@@
-105,7
+105,8
@@
signal q_out : std_logic_vector(13 downto 0);
signal ale_n : std_logic;
\r
begin
\r
- d_in <= vram_a & vram_ad;
+ d_in <= vram_a & vram_ad;
\r
+ ale_n <= not ale;
out_reg_inst : d_flip_flop generic map (14)
\r
port map (clk, '1', '1', ale_n, d_in, q_out);
\r
tsb_inst : tri_state_buffer generic map (14)
\r