OSDN Git Service

4k rom working
authorastoria-d <astoria-d@mail.goo.ne.jp>
Mon, 23 Sep 2013 10:43:47 +0000 (19:43 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Mon, 23 Sep 2013 10:43:47 +0000 (19:43 +0900)
de1_nes/address_decoder.vhd
de1_nes/cpu/mos6502.vhd
de1_nes/de1_nes.vhd
de1_nes/sample1-prg.hex
de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do
de1_nes/testbench_motones_sim.vhd

index 09b7a02..501082d 100644 (file)
@@ -45,6 +45,7 @@ architecture rtl of address_decoder is
     constant dsize : integer := 8;
     constant ram_2k : integer := 11;      --2k = 11 bit width.
     constant rom_32k : integer := 15;     --32k = 15 bit width.
+    constant rom_4k : integer := 12;     --4k = 12 bit width.
 
     constant CPU_DST : time := 100 ns;    --write data setup time.
 
@@ -55,12 +56,34 @@ architecture rtl of address_decoder is
     signal ram_oe_n : std_logic;
     signal ram_io : std_logic_vector (dsize - 1 downto 0);
     
+    
+    component single_port_rom
+    generic 
+    (
+        DATA_WIDTH : natural := 8;
+        ADDR_WIDTH : natural := 8
+    );
+    port 
+    (
+        clk            : in std_logic;
+        ce             : in std_logic;
+        addr            : in std_logic_vector (ADDR_WIDTH - 1 downto 0);
+        q              : out std_logic_vector((DATA_WIDTH -1) downto 0)
+    );
+end component;
+
 begin
 
     rom_ce_n <= '0' when (addr(15) = '1' and R_nW = '1') else
              '1' ;
-    romport : prg_rom generic map (rom_32k, dsize)
-            port map (mem_clk, rom_ce_n, addr(rom_32k - 1 downto 0), rom_out);
+
+--    romport : prg_rom generic map (rom_32k, dsize)
+--            port map (mem_clk, rom_ce_n, addr(rom_32k - 1 downto 0), rom_out);
+    --mask address 4k.
+    romport : prg_rom generic map (rom_4k, dsize)
+            port map (mem_clk, rom_ce_n, addr(rom_4k - 1 downto 0), rom_out);
+--    romport : single_port_rom generic map (dsize, rom_4k)
+--            port map (mem_clk, rom_ce_n, addr(rom_4k - 1 downto 0), rom_out);
 
     ram_io <= d_io 
         when (r_nw = '0' and ((addr(15) or addr(14) or addr(13)) = '0')) else
index bdc4c13..f2a36bf 100644 (file)
@@ -8,6 +8,7 @@ entity mos6502 is
     port (  \r
     signal dbg_instruction  : out std_logic_vector(7 downto 0);\r
     signal dbg_int_d_bus  : out std_logic_vector(7 downto 0);\r
+    signal dbg_exec_cycle      : out std_logic_vector (5 downto 0);\r
 \r
             input_clk   : in std_logic; --phi0 input pin.
             rdy         : in std_logic;
@@ -322,8 +323,10 @@ end component;
 
 begin
 \r
+    ----for debug monitoring....\r
     dbg_instruction <= instruction;\r
     dbg_int_d_bus <= int_d_bus;\r
+    dbg_exec_cycle <= exec_cycle;\r
 
 
     -- clock generate.
index 902db6d..f5170c9 100644 (file)
@@ -20,6 +20,7 @@ entity de1_nes is
 ---monitor inside cpu\r
     signal dbg_instruction  : out std_logic_vector(7 downto 0);\r
     signal dbg_int_d_bus  : out std_logic_vector(7 downto 0);\r
+    signal dbg_exec_cycle      : out std_logic_vector (5 downto 0);\r
 \r
 --NES instance\r
         base_clk       : in std_logic;
@@ -43,6 +44,7 @@ architecture rtl of de1_nes is
         port (  \r
     signal dbg_instruction  : out std_logic_vector(7 downto 0);\r
     signal dbg_int_d_bus  : out std_logic_vector(7 downto 0);\r
+    signal dbg_exec_cycle      : out std_logic_vector (5 downto 0);\r
 \r
                 input_clk   : in std_logic; --phi0 input pin.
                 rdy         : in std_logic;
@@ -161,6 +163,7 @@ begin
         port map (\r
     dbg_instruction,\r
     dbg_int_d_bus,\r
+    dbg_exec_cycle,\r
                 cpu_clk, rdy, rst_n, irq_n, nmi_n, dbe, r_nw, 
                 phi1, phi2, addr, d_io);
 
@@ -183,7 +186,7 @@ begin
     dbg_ppu_clk <= ppu_clk;\r
     dbg_mem_clk <= mem_clk;\r
     dbg_addr <= addr;\r
---    dbg_d_io <= d_io;\r
+    dbg_d_io <= d_io;\r
 --    dbg_vram_ad  <= vram_ad ;\r
 --    dbg_vram_a   <= vram_a  ;\r
 
index b17ce6a..8f8f981 100644 (file)
-:2000000078A2FF9AA9008D00208D0120A93F8D0620A9008D0620A200A010A000A9FF9900FF\r
-:2000200002C8A900990002C8990002C8990002C8D0EAA9028D1440BDF38C8D0720E888D0AE\r
-:20004000F6A9218D0620A9C98D0620A200A00DBD038D8D0720E888D0F6A9008D05208D0595\r
-:2000600020A9088D0020A91E8D01204C0D810102030405060708090A0B0C0D0E0F00010238\r
-:20008000030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010270\r
-:2000A000030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010250\r
-:2000C000030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010230\r
-:2000E000030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010210\r
-:20010000030405060708090A0B0C0D0E0FA9016A6A6A6A6A6A6A6A6A6A6A6A6A2A4A4C108E\r
-:20012000820102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F4D\r
-:20014000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0FAF\r
-:20016000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F8F\r
-:20018000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F6F\r
-:2001A000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F4F\r
-:2001C000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F2F\r
-:2001E000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F0F\r
-:20020000000102030405060708090A0B0C0D0E0FA901A901A901A901A901A901A2084C1064\r
-:20022000830102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F4B\r
-:20024000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0FAE\r
-:20026000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F8E\r
-:20028000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F6E\r
-:2002A000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F4E\r
-:2002C000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F2E\r
-:2002E000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F0E\r
-:20030000000102030405060708090A0B0C0D0E0FA901A901A901A901A9016AA20A4C0F841E\r
-:200320000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00CD\r
-:200340000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00AD\r
-:200360000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F008D\r
-:200380000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F006D\r
-:2003A0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F004D\r
-:2003C0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F002D\r
-:2003E0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F000D\r
-:200400000102030405060708090A0B0C0D0E0FA901A901A9016AA901A9DE8513B4094C101A\r
-:20042000850102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F47\r
-:20044000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0FAC\r
-:20046000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F8C\r
-:20048000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F6C\r
-:2004A000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F4C\r
-:2004C000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F2C\r
-:2004E000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F0C\r
-:20050000000102030405060708090A0B0C0D0E0FA901A901A0056AA901A9DE85134C0F8656\r
-:200520000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00CB\r
-:200540000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00AB\r
-:200560000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F008B\r
-:200580000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F006B\r
-:2005A0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F004B\r
-:2005C0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F002B\r
-:2005E0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F000B\r
-:200600000102030405060708090A0B0C0D0E0FA901A901A0056AA901A9DD9DFC064C0F874E\r
-:200620000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00CA\r
-:200640000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00AA\r
-:200660000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F008A\r
-:200680000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F006A\r
-:2006A0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F004A\r
-:2006C0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F002A\r
-:2006E0000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F000A\r
-:200700000102030405060708090A0B0C0D0E0FA901A901A901A005A901A9DD9DFC064C1093\r
-:20072000880102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F41\r
-:20074000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0FA9\r
-:20076000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F89\r
-:20078000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F69\r
-:2007A000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F49\r
-:2007C000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F29\r
-:2007E000000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F09\r
-:20080000000102030405060708090A0B0C0D0E0FA901A901A901A005A008A9DD9DFC064CA4\r
-:2008200011890102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E3D\r
-:200840000F000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0EA8\r
-:200860000F000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E88\r
-:200880000F000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E68\r
-:2008A0000F000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E48\r
-:2008C0000F000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E28\r
-:2008E0000F000102030405060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E08\r
-:200900000F000102030405060708090A0B0C0D0E0FA901A9016AA008A9DD9DFC06EAEAEA07\r
-:20092000A010A2FA8E9007A9B079800718A0ABA2FA8E2B08A9DD798007A215862B242BA947\r
-:200940008A242BE95CE93DE9E558A2C0862B242BB8A911A2E485A4A98175C08E34077D50B5\r
-:2009600006A2C98EE807A9348507A9078508A0B47107A9F5A2E485A4A95E35C08E34073DC8\r
-:200980005006A2C98EE807A9348507A9078508A0B43107A2C98E2508A9348507A90785081B\r
-:2009A000A0F13107A9DEA2E485A4A9C5D5C038A9758E3407DD5006A2C98E2508A934850754\r
-:2009C000A9078508A0F1A9C9D107A9DEA2E485A41836C026A4A2648E22073EBE06A2808E82\r
-:2009E00034072E3407A9DE8DA403A2C5ECA40338A075A2E48634C434A2C98E2508A0C9CCBE\r
-:200A00002508A9DEA2E485A41856C046A4A2648E22075EBE06A2018E34074E3407A2FA8E5D\r
-:200A20002008BC2607A2108E2008BC1008A01084E0A255B6D0A900A2E48588A000D6A4A2E0\r
-:200A4000648E2207DEBE06A9FEA2E48588F6A4F6A4F6A4A2648E2207FEBE06A902A2E4859C\r
-:200A60008876A476A476A4A2648E22076E2207A940A2E48588068816A416A4A2648E220711\r
-:200A80001EBE06A940A2E4A0C595A496A494A44C928AA205CA10734C0A8B000102030405A9\r
-:200AA000060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010203040546\r
-:200AC000060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010203040526\r
-:200AE000060708090A0B0C0D0E0F000102030405060708090A0B0C0D0E0F00010203040506\r
-:200B0000060708090A0B0C0D0E0FCA1087A205CA10734C858B000102030405060708090A84\r
-:200B20000B0C0D0E0F000102030405060708090A0B0C0D0E0F000102030405060708090AC5\r
-:200B40000B0C0D0E0F000102030405060708090A0B0C0D0E0F000102030405060708090AA5\r
-:200B60000B0C0D0E0F000102030405060708090A0B0C0D0E0F000102030405060708090A85\r
-:200B80000B0C0D0E0FCA1087A9DEA2E485A4A9C515C0A9758E34071D5006A2C98E2508A911\r
-:200BA000348507A9078508A0F11107387808185828A9DEA2E485A4A9C555C0A9758E34079F\r
-:200BC0005D5006A2078E2508A9348507A9078508A0F15107A9DEA2E485A4A9C5F5C0A975F8\r
-:200BE0008E3407FD5006A2078EEF07A9348507A9078508A0BBF107A988E91070FCA905E986\r
-:200C00000A50FCA9008D0120A91E8D0620A9C08D0620A9038501A0008400AD0720AD072093\r
-:200C2000A91E8D0120A9888D00204C2A8C8C2007A0808C21070A0A0A0A8DA006CE3007CEAA\r
-:200C40003107CE3207A90B8D1E07AD500729600A2A2A2A8D4E07A8AD5007291F8D4F07B968\r
-:200C6000E09C186D4F07A8B9E49C85E9B9069D85EAAC4E07B9289D186D4F07A8B92C9D85FA\r
-:200C8000E7B94E9D85E8A000B1E7482907C9048D4107684829384A4A4A8D10076829C0180E\r
-:200CA0002A2A2A8D1507C8B1E748290F8D2707684829304A4A4A4A8D42076829C0182A2AAE\r
-:200CC0002AC9038D3307A5E718690285E7A5E8690085E8AD6A07AD5F07C904AD5B07A98043\r
-:200CE00085FBA9018D7407EE7207AD022009808D0020400F0010200F0616260F0818280F20\r
-:200D00000A1A2A746573743221000000000000000000000000000000000000000000000072\r
+:2000000078A2FF9AA9008D00208D0120A93F8D0620A9008D0620A200A020BD8A818D072054\r
+:20002000E888D0F6A9208D0620A9AB8D0620A200A00DBDAA818D0720E888D0F6A9008D05AB\r
+:20004000208D0520A000A240A9208D0620A9C08D0620B92C818D0720C8CA10F6A000A208B8\r
+:20006000A9238D0620A9C88D0620B982818D0720C8CA10F6A93D8D0203200C81A9058D0075\r
+:2000800003A9008D010320F680A000A20BA9248D0620A9C08D0620B96C818D0720C8CA10A8\r
+:2000A000F6A9278D0620A9D08D0620A9E48D0720A91E8D0120A9808D0020A20AEACAD0FCE3\r
+:2000C000A20AAD0220CAD0FA4CBA8020F680200C8140188A48A5018D0620A5006920850012\r
+:2000E0008D0620900EA501690085018D0620A5008D062068AA60AD00038D05201869058D28\r
+:200100000003AD01038D052018690460A93F8D0620A9108D0620AD02038D0720C930D00856\r
+:20012000A93D8D02034C2B81CE0203604142434445464748494A4B4C4D4E4F50616263640A\r
+:2001400065666768696A6B6C6D6E6F70808182838485868788898A8B8C8D8E8F90919293E3\r
+:200160009495969798999A9B9C9D9E9F6B6A69686766656463626130313233343536373817\r
+:20018000393A1BE4A55AE41B5AA50F0010200F0414240F0818280F0C1C2C0F0010200F0658\r
+:2001A00016260F0818280F0A1A2A746573743221000000000000000000000000000000003C\r
+:2001C00000000000000000000000000000000000000000000000000000000000000000001F\r
+:2001E0000000000000000000000000000000000000000000000000000000000000000000FF\r
+:200200000000000000000000000000000000000000000000000000000000000000000000DE\r
+:200220000000000000000000000000000000000000000000000000000000000000000000BE\r
+:2002400000000000000000000000000000000000000000000000000000000000000000009E\r
+:2002600000000000000000000000000000000000000000000000000000000000000000007E\r
+:2002800000000000000000000000000000000000000000000000000000000000000000005E\r
+:2002A00000000000000000000000000000000000000000000000000000000000000000003E\r
+:2002C00000000000000000000000000000000000000000000000000000000000000000001E\r
+:2002E0000000000000000000000000000000000000000000000000000000000000000000FE\r
+:200300000000000000000000000000000000000000000000000000000000000000000000DD\r
+:200320000000000000000000000000000000000000000000000000000000000000000000BD\r
+:2003400000000000000000000000000000000000000000000000000000000000000000009D\r
+:2003600000000000000000000000000000000000000000000000000000000000000000007D\r
+:2003800000000000000000000000000000000000000000000000000000000000000000005D\r
+:2003A00000000000000000000000000000000000000000000000000000000000000000003D\r
+:2003C00000000000000000000000000000000000000000000000000000000000000000001D\r
+:2003E0000000000000000000000000000000000000000000000000000000000000000000FD\r
+:200400000000000000000000000000000000000000000000000000000000000000000000DC\r
+:200420000000000000000000000000000000000000000000000000000000000000000000BC\r
+:2004400000000000000000000000000000000000000000000000000000000000000000009C\r
+:2004600000000000000000000000000000000000000000000000000000000000000000007C\r
+:2004800000000000000000000000000000000000000000000000000000000000000000005C\r
+:2004A00000000000000000000000000000000000000000000000000000000000000000003C\r
+:2004C00000000000000000000000000000000000000000000000000000000000000000001C\r
+:2004E0000000000000000000000000000000000000000000000000000000000000000000FC\r
+:200500000000000000000000000000000000000000000000000000000000000000000000DB\r
+:200520000000000000000000000000000000000000000000000000000000000000000000BB\r
+:2005400000000000000000000000000000000000000000000000000000000000000000009B\r
+:2005600000000000000000000000000000000000000000000000000000000000000000007B\r
+:2005800000000000000000000000000000000000000000000000000000000000000000005B\r
+:2005A00000000000000000000000000000000000000000000000000000000000000000003B\r
+:2005C00000000000000000000000000000000000000000000000000000000000000000001B\r
+:2005E0000000000000000000000000000000000000000000000000000000000000000000FB\r
+:200600000000000000000000000000000000000000000000000000000000000000000000DA\r
+:200620000000000000000000000000000000000000000000000000000000000000000000BA\r
+:2006400000000000000000000000000000000000000000000000000000000000000000009A\r
+:2006600000000000000000000000000000000000000000000000000000000000000000007A\r
+:2006800000000000000000000000000000000000000000000000000000000000000000005A\r
+:2006A00000000000000000000000000000000000000000000000000000000000000000003A\r
+:2006C00000000000000000000000000000000000000000000000000000000000000000001A\r
+:2006E0000000000000000000000000000000000000000000000000000000000000000000FA\r
+:200700000000000000000000000000000000000000000000000000000000000000000000D9\r
+:200720000000000000000000000000000000000000000000000000000000000000000000B9\r
+:20074000000000000000000000000000000000000000000000000000000000000000000099\r
+:20076000000000000000000000000000000000000000000000000000000000000000000079\r
+:20078000000000000000000000000000000000000000000000000000000000000000000059\r
+:2007A000000000000000000000000000000000000000000000000000000000000000000039\r
+:2007C000000000000000000000000000000000000000000000000000000000000000000019\r
+:2007E0000000000000000000000000000000000000000000000000000000000000000000F9\r
+:200800000000000000000000000000000000000000000000000000000000000000000000D8\r
+:200820000000000000000000000000000000000000000000000000000000000000000000B8\r
+:20084000000000000000000000000000000000000000000000000000000000000000000098\r
+:20086000000000000000000000000000000000000000000000000000000000000000000078\r
+:20088000000000000000000000000000000000000000000000000000000000000000000058\r
+:2008A000000000000000000000000000000000000000000000000000000000000000000038\r
+:2008C000000000000000000000000000000000000000000000000000000000000000000018\r
+:2008E0000000000000000000000000000000000000000000000000000000000000000000F8\r
+:200900000000000000000000000000000000000000000000000000000000000000000000D7\r
+:200920000000000000000000000000000000000000000000000000000000000000000000B7\r
+:20094000000000000000000000000000000000000000000000000000000000000000000097\r
+:20096000000000000000000000000000000000000000000000000000000000000000000077\r
+:20098000000000000000000000000000000000000000000000000000000000000000000057\r
+:2009A000000000000000000000000000000000000000000000000000000000000000000037\r
+:2009C000000000000000000000000000000000000000000000000000000000000000000017\r
+:2009E0000000000000000000000000000000000000000000000000000000000000000000F7\r
+:200A00000000000000000000000000000000000000000000000000000000000000000000D6\r
+:200A20000000000000000000000000000000000000000000000000000000000000000000B6\r
+:200A4000000000000000000000000000000000000000000000000000000000000000000096\r
+:200A6000000000000000000000000000000000000000000000000000000000000000000076\r
+:200A8000000000000000000000000000000000000000000000000000000000000000000056\r
+:200AA000000000000000000000000000000000000000000000000000000000000000000036\r
+:200AC000000000000000000000000000000000000000000000000000000000000000000016\r
+:200AE0000000000000000000000000000000000000000000000000000000000000000000F6\r
+:200B00000000000000000000000000000000000000000000000000000000000000000000D5\r
+:200B20000000000000000000000000000000000000000000000000000000000000000000B5\r
+:200B4000000000000000000000000000000000000000000000000000000000000000000095\r
+:200B6000000000000000000000000000000000000000000000000000000000000000000075\r
+:200B8000000000000000000000000000000000000000000000000000000000000000000055\r
+:200BA000000000000000000000000000000000000000000000000000000000000000000035\r
+:200BC000000000000000000000000000000000000000000000000000000000000000000015\r
+:200BE0000000000000000000000000000000000000000000000000000000000000000000F5\r
+:200C00000000000000000000000000000000000000000000000000000000000000000000D4\r
+:200C20000000000000000000000000000000000000000000000000000000000000000000B4\r
+:200C4000000000000000000000000000000000000000000000000000000000000000000094\r
+:200C6000000000000000000000000000000000000000000000000000000000000000000074\r
+:200C8000000000000000000000000000000000000000000000000000000000000000000054\r
+:200CA000000000000000000000000000000000000000000000000000000000000000000034\r
+:200CC000000000000000000000000000000000000000000000000000000000000000000014\r
+:200CE0000000000000000000000000000000000000000000000000000000000000000000F4\r
+:200D00000000000000000000000000000000000000000000000000000000000000000000D3\r
 :200D20000000000000000000000000000000000000000000000000000000000000000000B3\r
 :200D4000000000000000000000000000000000000000000000000000000000000000000093\r
 :200D6000000000000000000000000000000000000000000000000000000000000000000073\r
 :200F8000000000000000000000000000000000000000000000000000000000000000000051\r
 :200FA000000000000000000000000000000000000000000000000000000000000000000031\r
 :200FC000000000000000000000000000000000000000000000000000000000000000000011\r
-:200FE0000000000000000000000000000000000000000000000000000000000000000000F1\r
-:201000000000000000000000000000000000000000000000000000000000000000000000D0\r
-:201020000000000000000000000000000000000000000000000000000000000000000000B0\r
-:20104000000000000000000000000000000000000000000000000000000000000000000090\r
-:20106000000000000000000000000000000000000000000000000000000000000000000070\r
-:20108000000000000000000000000000000000000000000000000000000000000000000050\r
-:2010A000000000000000000000000000000000000000000000000000000000000000000030\r
-:2010C000000000000000000000000000000000000000000000000000000000000000000010\r
-:2010E0000000000000000000000000000000000000000000000000000000000000000000F0\r
-:201100000000000000000000000000000000000000000000000000000000000000000000CF\r
-:201120000000000000000000000000000000000000000000000000000000000000000000AF\r
-:2011400000000000000000000000000000000000000000000000000000000000000000008F\r
-:2011600000000000000000000000000000000000000000000000000000000000000000006F\r
-:2011800000000000000000000000000000000000000000000000000000000000000000004F\r
-:2011A00000000000000000000000000000000000000000000000000000000000000000002F\r
-:2011C00000000000000000000000000000000000000000000000000000000000000000000F\r
-:2011E0000000000000000000000000000000000000000000000000000000000000000000EF\r
-:201200000000000000000000000000000000000000000000000000000000000000000000CE\r
-:201220000000000000000000000000000000000000000000000000000000000000000000AE\r
-:2012400000000000000000000000000000000000000000000000000000000000000000008E\r
-:2012600000000000000000000000000000000000000000000000000000000000000000006E\r
-:2012800000000000000000000000000000000000000000000000000000000000000000004E\r
-:2012A00000000000000000000000000000000000000000000000000000000000000000002E\r
-:2012C00000000000000000000000000000000000000000000000000000000000000000000E\r
-:2012E0000000000000000000000000000000000000000000000000000000000000000000EE\r
-:201300000000000000000000000000000000000000000000000000000000000000000000CD\r
-:201320000000000000000000000000000000000000000000000000000000000000000000AD\r
-:2013400000000000000000000000000000000000000000000000000000000000000000008D\r
-:2013600000000000000000000000000000000000000000000000000000000000000000006D\r
-:2013800000000000000000000000000000000000000000000000000000000000000000004D\r
-:2013A00000000000000000000000000000000000000000000000000000000000000000002D\r
-:2013C00000000000000000000000000000000000000000000000000000000000000000000D\r
-:2013E0000000000000000000000000000000000000000000000000000000000000000000ED\r
-:201400000000000000000000000000000000000000000000000000000000000000000000CC\r
-:201420000000000000000000000000000000000000000000000000000000000000000000AC\r
-:2014400000000000000000000000000000000000000000000000000000000000000000008C\r
-:2014600000000000000000000000000000000000000000000000000000000000000000006C\r
-:2014800000000000000000000000000000000000000000000000000000000000000000004C\r
-:2014A00000000000000000000000000000000000000000000000000000000000000000002C\r
-:2014C00000000000000000000000000000000000000000000000000000000000000000000C\r
-:2014E0000000000000000000000000000000000000000000000000000000000000000000EC\r
-:201500000000000000000000000000000000000000000000000000000000000000000000CB\r
-:201520000000000000000000000000000000000000000000000000000000000000000000AB\r
-:2015400000000000000000000000000000000000000000000000000000000000000000008B\r
-:2015600000000000000000000000000000000000000000000000000000000000000000006B\r
-:2015800000000000000000000000000000000000000000000000000000000000000000004B\r
-:2015A00000000000000000000000000000000000000000000000000000000000000000002B\r
-:2015C00000000000000000000000000000000000000000000000000000000000000000000B\r
-:2015E0000000000000000000000000000000000000000000000000000000000000000000EB\r
-:201600000000000000000000000000000000000000000000000000000000000000000000CA\r
-:201620000000000000000000000000000000000000000000000000000000000000000000AA\r
-:2016400000000000000000000000000000000000000000000000000000000000000000008A\r
-:2016600000000000000000000000000000000000000000000000000000000000000000006A\r
-:2016800000000000000000000000000000000000000000000000000000000000000000004A\r
-:2016A00000000000000000000000000000000000000000000000000000000000000000002A\r
-:2016C00000000000000000000000000000000000000000000000000000000000000000000A\r
-:2016E0000000000000000000000000000000000000000000000000000000000000000000EA\r
-:201700000000000000000000000000000000000000000000000000000000000000000000C9\r
-:201720000000000000000000000000000000000000000000000000000000000000000000A9\r
-:20174000000000000000000000000000000000000000000000000000000000000000000089\r
-:20176000000000000000000000000000000000000000000000000000000000000000000069\r
-:20178000000000000000000000000000000000000000000000000000000000000000000049\r
-:2017A000000000000000000000000000000000000000000000000000000000000000000029\r
-:2017C000000000000000000000000000000000000000000000000000000000000000000009\r
-:2017E0000000000000000000000000000000000000000000000000000000000000000000E9\r
-:201800000000000000000000000000000000000000000000000000000000000000000000C8\r
-:201820000000000000000000000000000000000000000000000000000000000000000000A8\r
-:20184000000000000000000000000000000000000000000000000000000000000000000088\r
-:20186000000000000000000000000000000000000000000000000000000000000000000068\r
-:20188000000000000000000000000000000000000000000000000000000000000000000048\r
-:2018A000000000000000000000000000000000000000000000000000000000000000000028\r
-:2018C000000000000000000000000000000000000000000000000000000000000000000008\r
-:2018E0000000000000000000000000000000000000000000000000000000000000000000E8\r
-:201900000000000000000000000000000000000000000000000000000000000000000000C7\r
-:201920000000000000000000000000000000000000000000000000000000000000000000A7\r
-:20194000000000000000000000000000000000000000000000000000000000000000000087\r
-:20196000000000000000000000000000000000000000000000000000000000000000000067\r
-:20198000000000000000000000000000000000000000000000000000000000000000000047\r
-:2019A000000000000000000000000000000000000000000000000000000000000000000027\r
-:2019C000000000000000000000000000000000000000000000000000000000000000000007\r
-:2019E0000000000000000000000000000000000000000000000000000000000000000000E7\r
-:201A00000000000000000000000000000000000000000000000000000000000000000000C6\r
-:201A20000000000000000000000000000000000000000000000000000000000000000000A6\r
-:201A4000000000000000000000000000000000000000000000000000000000000000000086\r
-:201A6000000000000000000000000000000000000000000000000000000000000000000066\r
-:201A8000000000000000000000000000000000000000000000000000000000000000000046\r
-:201AA000000000000000000000000000000000000000000000000000000000000000000026\r
-:201AC000000000000000000000000000000000000000000000000000000000000000000006\r
-:201AE0000000000000000000000000000000000000000000000000000000000000000000E6\r
-:201B00000000000000000000000000000000000000000000000000000000000000000000C5\r
-:201B20000000000000000000000000000000000000000000000000000000000000000000A5\r
-:201B4000000000000000000000000000000000000000000000000000000000000000000085\r
-:201B6000000000000000000000000000000000000000000000000000000000000000000065\r
-:201B8000000000000000000000000000000000000000000000000000000000000000000045\r
-:201BA000000000000000000000000000000000000000000000000000000000000000000025\r
-:201BC000000000000000000000000000000000000000000000000000000000000000000005\r
-:201BE0000000000000000000000000000000000000000000000000000000000000000000E5\r
-:201C00000000000000000000000000000000000000000000000000000000000000000000C4\r
-:201C20000000000000000000000000000000000000000000000000000000000000000000A4\r
-:201C4000000000000000000000000000000000000000000000000000000000000000000084\r
-:201C6000000000000000000000000000000000000000000000000000000000000000000064\r
-:201C8000000000000000000000000000000000000000000000000000000000000000000044\r
-:201CA000000000000000000000000000000000000000000000000000000000000000000024\r
-:201CC000000000000000000000000000000000000000000000000000000000000000000004\r
-:201CE0000000000000000000000000000000000000000000000000000000000000000000E4\r
-:201D00000000000000000000000000000000000000000000000000000000000000000000C3\r
-:201D20000000000000000000000000000000000000000000000000000000000000000000A3\r
-:201D4000000000000000000000000000000000000000000000000000000000000000000083\r
-:201D6000000000000000000000000000000000000000000000000000000000000000000063\r
-:201D8000000000000000000000000000000000000000000000000000000000000000000043\r
-:201DA000000000000000000000000000000000000000000000000000000000000000000023\r
-:201DC000000000000000000000000000000000000000000000000000000000000000000003\r
-:201DE0000000000000000000000000000000000000000000000000000000000000000000E3\r
-:201E00000000000000000000000000000000000000000000000000000000000000000000C2\r
-:201E20000000000000000000000000000000000000000000000000000000000000000000A2\r
-:201E4000000000000000000000000000000000000000000000000000000000000000000082\r
-:201E6000000000000000000000000000000000000000000000000000000000000000000062\r
-:201E8000000000000000000000000000000000000000000000000000000000000000000042\r
-:201EA000000000000000000000000000000000000000000000000000000000000000000022\r
-:201EC000000000000000000000000000000000000000000000000000000000000000000002\r
-:201EE0000000000000000000000000000000000000000000000000000000000000000000E2\r
-:201F00000000000000000000000000000000000000000000000000000000000000000000C1\r
-:201F20000000000000000000000000000000000000000000000000000000000000000000A1\r
-:201F4000000000000000000000000000000000000000000000000000000000000000000081\r
-:201F6000000000000000000000000000000000000000000000000000000000000000000061\r
-:201F8000000000000000000000000000000000000000000000000000000000000000000041\r
-:201FA000000000000000000000000000000000000000000000000000000000000000000021\r
-:201FC000000000000000000000000000000000000000000000000000000000000000000001\r
-:201FE0000000000000000000000000000000000000000000000000000000000000000000E1\r
-:202000000000000000000000000000000000000000000000000000000000000000000000C0\r
-:202020000000000000000000000000000000000000000000000000000000000000000000A0\r
-:20204000000000000000000000000000000000000000000000000000000000000000000080\r
-:20206000000000000000000000000000000000000000000000000000000000000000000060\r
-:20208000000000000000000000000000000000000000000000000000000000000000000040\r
-:2020A000000000000000000000000000000000000000000000000000000000000000000020\r
-:2020C000000000000000000000000000000000000000000000000000000000000000000000\r
-:2020E0000000000000000000000000000000000000000000000000000000000000000000E0\r
-:202100000000000000000000000000000000000000000000000000000000000000000000BF\r
-:2021200000000000000000000000000000000000000000000000000000000000000000009F\r
-:2021400000000000000000000000000000000000000000000000000000000000000000007F\r
-:2021600000000000000000000000000000000000000000000000000000000000000000005F\r
-:2021800000000000000000000000000000000000000000000000000000000000000000003F\r
-:2021A00000000000000000000000000000000000000000000000000000000000000000001F\r
-:2021C0000000000000000000000000000000000000000000000000000000000000000000FF\r
-:2021E0000000000000000000000000000000000000000000000000000000000000000000DF\r
-:202200000000000000000000000000000000000000000000000000000000000000000000BE\r
-:2022200000000000000000000000000000000000000000000000000000000000000000009E\r
-:2022400000000000000000000000000000000000000000000000000000000000000000007E\r
-:2022600000000000000000000000000000000000000000000000000000000000000000005E\r
-:2022800000000000000000000000000000000000000000000000000000000000000000003E\r
-:2022A00000000000000000000000000000000000000000000000000000000000000000001E\r
-:2022C0000000000000000000000000000000000000000000000000000000000000000000FE\r
-:2022E0000000000000000000000000000000000000000000000000000000000000000000DE\r
-:202300000000000000000000000000000000000000000000000000000000000000000000BD\r
-:2023200000000000000000000000000000000000000000000000000000000000000000009D\r
-:2023400000000000000000000000000000000000000000000000000000000000000000007D\r
-:2023600000000000000000000000000000000000000000000000000000000000000000005D\r
-:2023800000000000000000000000000000000000000000000000000000000000000000003D\r
-:2023A00000000000000000000000000000000000000000000000000000000000000000001D\r
-:2023C0000000000000000000000000000000000000000000000000000000000000000000FD\r
-:2023E0000000000000000000000000000000000000000000000000000000000000000000DD\r
-:202400000000000000000000000000000000000000000000000000000000000000000000BC\r
-:2024200000000000000000000000000000000000000000000000000000000000000000009C\r
-:2024400000000000000000000000000000000000000000000000000000000000000000007C\r
-:2024600000000000000000000000000000000000000000000000000000000000000000005C\r
-:2024800000000000000000000000000000000000000000000000000000000000000000003C\r
-:2024A00000000000000000000000000000000000000000000000000000000000000000001C\r
-:2024C0000000000000000000000000000000000000000000000000000000000000000000FC\r
-:2024E0000000000000000000000000000000000000000000000000000000000000000000DC\r
-:202500000000000000000000000000000000000000000000000000000000000000000000BB\r
-:2025200000000000000000000000000000000000000000000000000000000000000000009B\r
-:2025400000000000000000000000000000000000000000000000000000000000000000007B\r
-:2025600000000000000000000000000000000000000000000000000000000000000000005B\r
-:2025800000000000000000000000000000000000000000000000000000000000000000003B\r
-:2025A00000000000000000000000000000000000000000000000000000000000000000001B\r
-:2025C0000000000000000000000000000000000000000000000000000000000000000000FB\r
-:2025E0000000000000000000000000000000000000000000000000000000000000000000DB\r
-:202600000000000000000000000000000000000000000000000000000000000000000000BA\r
-:2026200000000000000000000000000000000000000000000000000000000000000000009A\r
-:2026400000000000000000000000000000000000000000000000000000000000000000007A\r
-:2026600000000000000000000000000000000000000000000000000000000000000000005A\r
-:2026800000000000000000000000000000000000000000000000000000000000000000003A\r
-:2026A00000000000000000000000000000000000000000000000000000000000000000001A\r
-:2026C0000000000000000000000000000000000000000000000000000000000000000000FA\r
-:2026E0000000000000000000000000000000000000000000000000000000000000000000DA\r
-:202700000000000000000000000000000000000000000000000000000000000000000000B9\r
-:20272000000000000000000000000000000000000000000000000000000000000000000099\r
-:20274000000000000000000000000000000000000000000000000000000000000000000079\r
-:20276000000000000000000000000000000000000000000000000000000000000000000059\r
-:20278000000000000000000000000000000000000000000000000000000000000000000039\r
-:2027A000000000000000000000000000000000000000000000000000000000000000000019\r
-:2027C0000000000000000000000000000000000000000000000000000000000000000000F9\r
-:2027E0000000000000000000000000000000000000000000000000000000000000000000D9\r
-:202800000000000000000000000000000000000000000000000000000000000000000000B8\r
-:20282000000000000000000000000000000000000000000000000000000000000000000098\r
-:20284000000000000000000000000000000000000000000000000000000000000000000078\r
-:20286000000000000000000000000000000000000000000000000000000000000000000058\r
-:20288000000000000000000000000000000000000000000000000000000000000000000038\r
-:2028A000000000000000000000000000000000000000000000000000000000000000000018\r
-:2028C0000000000000000000000000000000000000000000000000000000000000000000F8\r
-:2028E0000000000000000000000000000000000000000000000000000000000000000000D8\r
-:202900000000000000000000000000000000000000000000000000000000000000000000B7\r
-:20292000000000000000000000000000000000000000000000000000000000000000000097\r
-:20294000000000000000000000000000000000000000000000000000000000000000000077\r
-:20296000000000000000000000000000000000000000000000000000000000000000000057\r
-:20298000000000000000000000000000000000000000000000000000000000000000000037\r
-:2029A000000000000000000000000000000000000000000000000000000000000000000017\r
-:2029C0000000000000000000000000000000000000000000000000000000000000000000F7\r
-:2029E0000000000000000000000000000000000000000000000000000000000000000000D7\r
-:202A00000000000000000000000000000000000000000000000000000000000000000000B6\r
-:202A2000000000000000000000000000000000000000000000000000000000000000000096\r
-:202A4000000000000000000000000000000000000000000000000000000000000000000076\r
-:202A6000000000000000000000000000000000000000000000000000000000000000000056\r
-:202A8000000000000000000000000000000000000000000000000000000000000000000036\r
-:202AA000000000000000000000000000000000000000000000000000000000000000000016\r
-:202AC0000000000000000000000000000000000000000000000000000000000000000000F6\r
-:202AE0000000000000000000000000000000000000000000000000000000000000000000D6\r
-:202B00000000000000000000000000000000000000000000000000000000000000000000B5\r
-:202B2000000000000000000000000000000000000000000000000000000000000000000095\r
-:202B4000000000000000000000000000000000000000000000000000000000000000000075\r
-:202B6000000000000000000000000000000000000000000000000000000000000000000055\r
-:202B8000000000000000000000000000000000000000000000000000000000000000000035\r
-:202BA000000000000000000000000000000000000000000000000000000000000000000015\r
-:202BC0000000000000000000000000000000000000000000000000000000000000000000F5\r
-:202BE0000000000000000000000000000000000000000000000000000000000000000000D5\r
-:202C00000000000000000000000000000000000000000000000000000000000000000000B4\r
-:202C2000000000000000000000000000000000000000000000000000000000000000000094\r
-:202C4000000000000000000000000000000000000000000000000000000000000000000074\r
-:202C6000000000000000000000000000000000000000000000000000000000000000000054\r
-:202C8000000000000000000000000000000000000000000000000000000000000000000034\r
-:202CA000000000000000000000000000000000000000000000000000000000000000000014\r
-:202CC0000000000000000000000000000000000000000000000000000000000000000000F4\r
-:202CE0000000000000000000000000000000000000000000000000000000000000000000D4\r
-:202D00000000000000000000000000000000000000000000000000000000000000000000B3\r
-:202D2000000000000000000000000000000000000000000000000000000000000000000093\r
-:202D4000000000000000000000000000000000000000000000000000000000000000000073\r
-:202D6000000000000000000000000000000000000000000000000000000000000000000053\r
-:202D8000000000000000000000000000000000000000000000000000000000000000000033\r
-:202DA000000000000000000000000000000000000000000000000000000000000000000013\r
-:202DC0000000000000000000000000000000000000000000000000000000000000000000F3\r
-:202DE0000000000000000000000000000000000000000000000000000000000000000000D3\r
-:202E00000000000000000000000000000000000000000000000000000000000000000000B2\r
-:202E2000000000000000000000000000000000000000000000000000000000000000000092\r
-:202E4000000000000000000000000000000000000000000000000000000000000000000072\r
-:202E6000000000000000000000000000000000000000000000000000000000000000000052\r
-:202E8000000000000000000000000000000000000000000000000000000000000000000032\r
-:202EA000000000000000000000000000000000000000000000000000000000000000000012\r
-:202EC0000000000000000000000000000000000000000000000000000000000000000000F2\r
-:202EE0000000000000000000000000000000000000000000000000000000000000000000D2\r
-:202F00000000000000000000000000000000000000000000000000000000000000000000B1\r
-:202F2000000000000000000000000000000000000000000000000000000000000000000091\r
-:202F4000000000000000000000000000000000000000000000000000000000000000000071\r
-:202F6000000000000000000000000000000000000000000000000000000000000000000051\r
-:202F8000000000000000000000000000000000000000000000000000000000000000000031\r
-:202FA000000000000000000000000000000000000000000000000000000000000000000011\r
-:202FC0000000000000000000000000000000000000000000000000000000000000000000F1\r
-:202FE0000000000000000000000000000000000000000000000000000000000000000000D1\r
-:203000000000000000000000000000000000000000000000000000000000000000000000B0\r
-:20302000000000000000000000000000000000000000000000000000000000000000000090\r
-:20304000000000000000000000000000000000000000000000000000000000000000000070\r
-:20306000000000000000000000000000000000000000000000000000000000000000000050\r
-:20308000000000000000000000000000000000000000000000000000000000000000000030\r
-:2030A000000000000000000000000000000000000000000000000000000000000000000010\r
-:2030C0000000000000000000000000000000000000000000000000000000000000000000F0\r
-:2030E0000000000000000000000000000000000000000000000000000000000000000000D0\r
-:203100000000000000000000000000000000000000000000000000000000000000000000AF\r
-:2031200000000000000000000000000000000000000000000000000000000000000000008F\r
-:2031400000000000000000000000000000000000000000000000000000000000000000006F\r
-:2031600000000000000000000000000000000000000000000000000000000000000000004F\r
-:2031800000000000000000000000000000000000000000000000000000000000000000002F\r
-:2031A00000000000000000000000000000000000000000000000000000000000000000000F\r
-:2031C0000000000000000000000000000000000000000000000000000000000000000000EF\r
-:2031E0000000000000000000000000000000000000000000000000000000000000000000CF\r
-:203200000000000000000000000000000000000000000000000000000000000000000000AE\r
-:2032200000000000000000000000000000000000000000000000000000000000000000008E\r
-:2032400000000000000000000000000000000000000000000000000000000000000000006E\r
-:2032600000000000000000000000000000000000000000000000000000000000000000004E\r
-:2032800000000000000000000000000000000000000000000000000000000000000000002E\r
-:2032A00000000000000000000000000000000000000000000000000000000000000000000E\r
-:2032C0000000000000000000000000000000000000000000000000000000000000000000EE\r
-:2032E0000000000000000000000000000000000000000000000000000000000000000000CE\r
-:203300000000000000000000000000000000000000000000000000000000000000000000AD\r
-:2033200000000000000000000000000000000000000000000000000000000000000000008D\r
-:2033400000000000000000000000000000000000000000000000000000000000000000006D\r
-:2033600000000000000000000000000000000000000000000000000000000000000000004D\r
-:2033800000000000000000000000000000000000000000000000000000000000000000002D\r
-:2033A00000000000000000000000000000000000000000000000000000000000000000000D\r
-:2033C0000000000000000000000000000000000000000000000000000000000000000000ED\r
-:2033E0000000000000000000000000000000000000000000000000000000000000000000CD\r
-:203400000000000000000000000000000000000000000000000000000000000000000000AC\r
-:2034200000000000000000000000000000000000000000000000000000000000000000008C\r
-:2034400000000000000000000000000000000000000000000000000000000000000000006C\r
-:2034600000000000000000000000000000000000000000000000000000000000000000004C\r
-:2034800000000000000000000000000000000000000000000000000000000000000000002C\r
-:2034A00000000000000000000000000000000000000000000000000000000000000000000C\r
-:2034C0000000000000000000000000000000000000000000000000000000000000000000EC\r
-:2034E0000000000000000000000000000000000000000000000000000000000000000000CC\r
-:203500000000000000000000000000000000000000000000000000000000000000000000AB\r
-:2035200000000000000000000000000000000000000000000000000000000000000000008B\r
-:2035400000000000000000000000000000000000000000000000000000000000000000006B\r
-:2035600000000000000000000000000000000000000000000000000000000000000000004B\r
-:2035800000000000000000000000000000000000000000000000000000000000000000002B\r
-:2035A00000000000000000000000000000000000000000000000000000000000000000000B\r
-:2035C0000000000000000000000000000000000000000000000000000000000000000000EB\r
-:2035E0000000000000000000000000000000000000000000000000000000000000000000CB\r
-:203600000000000000000000000000000000000000000000000000000000000000000000AA\r
-:2036200000000000000000000000000000000000000000000000000000000000000000008A\r
-:2036400000000000000000000000000000000000000000000000000000000000000000006A\r
-:2036600000000000000000000000000000000000000000000000000000000000000000004A\r
-:2036800000000000000000000000000000000000000000000000000000000000000000002A\r
-:2036A00000000000000000000000000000000000000000000000000000000000000000000A\r
-:2036C0000000000000000000000000000000000000000000000000000000000000000000EA\r
-:2036E0000000000000000000000000000000000000000000000000000000000000000000CA\r
-:203700000000000000000000000000000000000000000000000000000000000000000000A9\r
-:20372000000000000000000000000000000000000000000000000000000000000000000089\r
-:20374000000000000000000000000000000000000000000000000000000000000000000069\r
-:20376000000000000000000000000000000000000000000000000000000000000000000049\r
-:20378000000000000000000000000000000000000000000000000000000000000000000029\r
-:2037A000000000000000000000000000000000000000000000000000000000000000000009\r
-:2037C0000000000000000000000000000000000000000000000000000000000000000000E9\r
-:2037E0000000000000000000000000000000000000000000000000000000000000000000C9\r
-:203800000000000000000000000000000000000000000000000000000000000000000000A8\r
-:20382000000000000000000000000000000000000000000000000000000000000000000088\r
-:20384000000000000000000000000000000000000000000000000000000000000000000068\r
-:20386000000000000000000000000000000000000000000000000000000000000000000048\r
-:20388000000000000000000000000000000000000000000000000000000000000000000028\r
-:2038A000000000000000000000000000000000000000000000000000000000000000000008\r
-:2038C0000000000000000000000000000000000000000000000000000000000000000000E8\r
-:2038E0000000000000000000000000000000000000000000000000000000000000000000C8\r
-:203900000000000000000000000000000000000000000000000000000000000000000000A7\r
-:20392000000000000000000000000000000000000000000000000000000000000000000087\r
-:20394000000000000000000000000000000000000000000000000000000000000000000067\r
-:20396000000000000000000000000000000000000000000000000000000000000000000047\r
-:20398000000000000000000000000000000000000000000000000000000000000000000027\r
-:2039A000000000000000000000000000000000000000000000000000000000000000000007\r
-:2039C0000000000000000000000000000000000000000000000000000000000000000000E7\r
-:2039E0000000000000000000000000000000000000000000000000000000000000000000C7\r
-:203A00000000000000000000000000000000000000000000000000000000000000000000A6\r
-:203A2000000000000000000000000000000000000000000000000000000000000000000086\r
-:203A4000000000000000000000000000000000000000000000000000000000000000000066\r
-:203A6000000000000000000000000000000000000000000000000000000000000000000046\r
-:203A8000000000000000000000000000000000000000000000000000000000000000000026\r
-:203AA000000000000000000000000000000000000000000000000000000000000000000006\r
-:203AC0000000000000000000000000000000000000000000000000000000000000000000E6\r
-:203AE0000000000000000000000000000000000000000000000000000000000000000000C6\r
-:203B00000000000000000000000000000000000000000000000000000000000000000000A5\r
-:203B2000000000000000000000000000000000000000000000000000000000000000000085\r
-:203B4000000000000000000000000000000000000000000000000000000000000000000065\r
-:203B6000000000000000000000000000000000000000000000000000000000000000000045\r
-:203B8000000000000000000000000000000000000000000000000000000000000000000025\r
-:203BA000000000000000000000000000000000000000000000000000000000000000000005\r
-:203BC0000000000000000000000000000000000000000000000000000000000000000000E5\r
-:203BE0000000000000000000000000000000000000000000000000000000000000000000C5\r
-:203C00000000000000000000000000000000000000000000000000000000000000000000A4\r
-:203C2000000000000000000000000000000000000000000000000000000000000000000084\r
-:203C4000000000000000000000000000000000000000000000000000000000000000000064\r
-:203C6000000000000000000000000000000000000000000000000000000000000000000044\r
-:203C8000000000000000000000000000000000000000000000000000000000000000000024\r
-:203CA000000000000000000000000000000000000000000000000000000000000000000004\r
-:203CC0000000000000000000000000000000000000000000000000000000000000000000E4\r
-:203CE0000000000000000000000000000000000000000000000000000000000000000000C4\r
-:203D00000000000000000000000000000000000000000000000000000000000000000000A3\r
-:203D2000000000000000000000000000000000000000000000000000000000000000000083\r
-:203D4000000000000000000000000000000000000000000000000000000000000000000063\r
-:203D6000000000000000000000000000000000000000000000000000000000000000000043\r
-:203D8000000000000000000000000000000000000000000000000000000000000000000023\r
-:203DA000000000000000000000000000000000000000000000000000000000000000000003\r
-:203DC0000000000000000000000000000000000000000000000000000000000000000000E3\r
-:203DE0000000000000000000000000000000000000000000000000000000000000000000C3\r
-:203E00000000000000000000000000000000000000000000000000000000000000000000A2\r
-:203E2000000000000000000000000000000000000000000000000000000000000000000082\r
-:203E4000000000000000000000000000000000000000000000000000000000000000000062\r
-:203E6000000000000000000000000000000000000000000000000000000000000000000042\r
-:203E8000000000000000000000000000000000000000000000000000000000000000000022\r
-:203EA000000000000000000000000000000000000000000000000000000000000000000002\r
-:203EC0000000000000000000000000000000000000000000000000000000000000000000E2\r
-:203EE0000000000000000000000000000000000000000000000000000000000000000000C2\r
-:203F00000000000000000000000000000000000000000000000000000000000000000000A1\r
-:203F2000000000000000000000000000000000000000000000000000000000000000000081\r
-:203F4000000000000000000000000000000000000000000000000000000000000000000061\r
-:203F6000000000000000000000000000000000000000000000000000000000000000000041\r
-:203F8000000000000000000000000000000000000000000000000000000000000000000021\r
-:203FA000000000000000000000000000000000000000000000000000000000000000000001\r
-:203FC0000000000000000000000000000000000000000000000000000000000000000000E1\r
-:203FE0000000000000000000000000000000000000000000000000000000000000000000C1\r
-:204000000000000000000000000000000000000000000000000000000000000000000000A0\r
-:20402000000000000000000000000000000000000000000000000000000000000000000080\r
-:20404000000000000000000000000000000000000000000000000000000000000000000060\r
-:20406000000000000000000000000000000000000000000000000000000000000000000040\r
-:20408000000000000000000000000000000000000000000000000000000000000000000020\r
-:2040A000000000000000000000000000000000000000000000000000000000000000000000\r
-:2040C0000000000000000000000000000000000000000000000000000000000000000000E0\r
-:2040E0000000000000000000000000000000000000000000000000000000000000000000C0\r
-:2041000000000000000000000000000000000000000000000000000000000000000000009F\r
-:2041200000000000000000000000000000000000000000000000000000000000000000007F\r
-:2041400000000000000000000000000000000000000000000000000000000000000000005F\r
-:2041600000000000000000000000000000000000000000000000000000000000000000003F\r
-:2041800000000000000000000000000000000000000000000000000000000000000000001F\r
-:2041A0000000000000000000000000000000000000000000000000000000000000000000FF\r
-:2041C0000000000000000000000000000000000000000000000000000000000000000000DF\r
-:2041E0000000000000000000000000000000000000000000000000000000000000000000BF\r
-:2042000000000000000000000000000000000000000000000000000000000000000000009E\r
-:2042200000000000000000000000000000000000000000000000000000000000000000007E\r
-:2042400000000000000000000000000000000000000000000000000000000000000000005E\r
-:2042600000000000000000000000000000000000000000000000000000000000000000003E\r
-:2042800000000000000000000000000000000000000000000000000000000000000000001E\r
-:2042A0000000000000000000000000000000000000000000000000000000000000000000FE\r
-:2042C0000000000000000000000000000000000000000000000000000000000000000000DE\r
-:2042E0000000000000000000000000000000000000000000000000000000000000000000BE\r
-:2043000000000000000000000000000000000000000000000000000000000000000000009D\r
-:2043200000000000000000000000000000000000000000000000000000000000000000007D\r
-:2043400000000000000000000000000000000000000000000000000000000000000000005D\r
-:2043600000000000000000000000000000000000000000000000000000000000000000003D\r
-:2043800000000000000000000000000000000000000000000000000000000000000000001D\r
-:2043A0000000000000000000000000000000000000000000000000000000000000000000FD\r
-:2043C0000000000000000000000000000000000000000000000000000000000000000000DD\r
-:2043E0000000000000000000000000000000000000000000000000000000000000000000BD\r
-:2044000000000000000000000000000000000000000000000000000000000000000000009C\r
-:2044200000000000000000000000000000000000000000000000000000000000000000007C\r
-:2044400000000000000000000000000000000000000000000000000000000000000000005C\r
-:2044600000000000000000000000000000000000000000000000000000000000000000003C\r
-:2044800000000000000000000000000000000000000000000000000000000000000000001C\r
-:2044A0000000000000000000000000000000000000000000000000000000000000000000FC\r
-:2044C0000000000000000000000000000000000000000000000000000000000000000000DC\r
-:2044E0000000000000000000000000000000000000000000000000000000000000000000BC\r
-:2045000000000000000000000000000000000000000000000000000000000000000000009B\r
-:2045200000000000000000000000000000000000000000000000000000000000000000007B\r
-:2045400000000000000000000000000000000000000000000000000000000000000000005B\r
-:2045600000000000000000000000000000000000000000000000000000000000000000003B\r
-:2045800000000000000000000000000000000000000000000000000000000000000000001B\r
-:2045A0000000000000000000000000000000000000000000000000000000000000000000FB\r
-:2045C0000000000000000000000000000000000000000000000000000000000000000000DB\r
-:2045E0000000000000000000000000000000000000000000000000000000000000000000BB\r
-:2046000000000000000000000000000000000000000000000000000000000000000000009A\r
-:2046200000000000000000000000000000000000000000000000000000000000000000007A\r
-:2046400000000000000000000000000000000000000000000000000000000000000000005A\r
-:2046600000000000000000000000000000000000000000000000000000000000000000003A\r
-:2046800000000000000000000000000000000000000000000000000000000000000000001A\r
-:2046A0000000000000000000000000000000000000000000000000000000000000000000FA\r
-:2046C0000000000000000000000000000000000000000000000000000000000000000000DA\r
-:2046E0000000000000000000000000000000000000000000000000000000000000000000BA\r
-:20470000000000000000000000000000000000000000000000000000000000000000000099\r
-:20472000000000000000000000000000000000000000000000000000000000000000000079\r
-:20474000000000000000000000000000000000000000000000000000000000000000000059\r
-:20476000000000000000000000000000000000000000000000000000000000000000000039\r
-:20478000000000000000000000000000000000000000000000000000000000000000000019\r
-:2047A0000000000000000000000000000000000000000000000000000000000000000000F9\r
-:2047C0000000000000000000000000000000000000000000000000000000000000000000D9\r
-:2047E0000000000000000000000000000000000000000000000000000000000000000000B9\r
-:20480000000000000000000000000000000000000000000000000000000000000000000098\r
-:20482000000000000000000000000000000000000000000000000000000000000000000078\r
-:20484000000000000000000000000000000000000000000000000000000000000000000058\r
-:20486000000000000000000000000000000000000000000000000000000000000000000038\r
-:20488000000000000000000000000000000000000000000000000000000000000000000018\r
-:2048A0000000000000000000000000000000000000000000000000000000000000000000F8\r
-:2048C0000000000000000000000000000000000000000000000000000000000000000000D8\r
-:2048E0000000000000000000000000000000000000000000000000000000000000000000B8\r
-:20490000000000000000000000000000000000000000000000000000000000000000000097\r
-:20492000000000000000000000000000000000000000000000000000000000000000000077\r
-:20494000000000000000000000000000000000000000000000000000000000000000000057\r
-:20496000000000000000000000000000000000000000000000000000000000000000000037\r
-:20498000000000000000000000000000000000000000000000000000000000000000000017\r
-:2049A0000000000000000000000000000000000000000000000000000000000000000000F7\r
-:2049C0000000000000000000000000000000000000000000000000000000000000000000D7\r
-:2049E0000000000000000000000000000000000000000000000000000000000000000000B7\r
-:204A0000000000000000000000000000000000000000000000000000000000000000000096\r
-:204A2000000000000000000000000000000000000000000000000000000000000000000076\r
-:204A4000000000000000000000000000000000000000000000000000000000000000000056\r
-:204A6000000000000000000000000000000000000000000000000000000000000000000036\r
-:204A8000000000000000000000000000000000000000000000000000000000000000000016\r
-:204AA0000000000000000000000000000000000000000000000000000000000000000000F6\r
-:204AC0000000000000000000000000000000000000000000000000000000000000000000D6\r
-:204AE0000000000000000000000000000000000000000000000000000000000000000000B6\r
-:204B0000000000000000000000000000000000000000000000000000000000000000000095\r
-:204B2000000000000000000000000000000000000000000000000000000000000000000075\r
-:204B4000000000000000000000000000000000000000000000000000000000000000000055\r
-:204B6000000000000000000000000000000000000000000000000000000000000000000035\r
-:204B8000000000000000000000000000000000000000000000000000000000000000000015\r
-:204BA0000000000000000000000000000000000000000000000000000000000000000000F5\r
-:204BC0000000000000000000000000000000000000000000000000000000000000000000D5\r
-:204BE0000000000000000000000000000000000000000000000000000000000000000000B5\r
-:204C0000000000000000000000000000000000000000000000000000000000000000000094\r
-:204C2000000000000000000000000000000000000000000000000000000000000000000074\r
-:204C4000000000000000000000000000000000000000000000000000000000000000000054\r
-:204C6000000000000000000000000000000000000000000000000000000000000000000034\r
-:204C8000000000000000000000000000000000000000000000000000000000000000000014\r
-:204CA0000000000000000000000000000000000000000000000000000000000000000000F4\r
-:204CC0000000000000000000000000000000000000000000000000000000000000000000D4\r
-:204CE0000000000000000000000000000000000000000000000000000000000000000000B4\r
-:204D0000000000000000000000000000000000000000000000000000000000000000000093\r
-:204D2000000000000000000000000000000000000000000000000000000000000000000073\r
-:204D4000000000000000000000000000000000000000000000000000000000000000000053\r
-:204D6000000000000000000000000000000000000000000000000000000000000000000033\r
-:204D8000000000000000000000000000000000000000000000000000000000000000000013\r
-:204DA0000000000000000000000000000000000000000000000000000000000000000000F3\r
-:204DC0000000000000000000000000000000000000000000000000000000000000000000D3\r
-:204DE0000000000000000000000000000000000000000000000000000000000000000000B3\r
-:204E0000000000000000000000000000000000000000000000000000000000000000000092\r
-:204E2000000000000000000000000000000000000000000000000000000000000000000072\r
-:204E4000000000000000000000000000000000000000000000000000000000000000000052\r
-:204E6000000000000000000000000000000000000000000000000000000000000000000032\r
-:204E8000000000000000000000000000000000000000000000000000000000000000000012\r
-:204EA0000000000000000000000000000000000000000000000000000000000000000000F2\r
-:204EC0000000000000000000000000000000000000000000000000000000000000000000D2\r
-:204EE0000000000000000000000000000000000000000000000000000000000000000000B2\r
-:204F0000000000000000000000000000000000000000000000000000000000000000000091\r
-:204F2000000000000000000000000000000000000000000000000000000000000000000071\r
-:204F4000000000000000000000000000000000000000000000000000000000000000000051\r
-:204F6000000000000000000000000000000000000000000000000000000000000000000031\r
-:204F8000000000000000000000000000000000000000000000000000000000000000000011\r
-:204FA0000000000000000000000000000000000000000000000000000000000000000000F1\r
-:204FC0000000000000000000000000000000000000000000000000000000000000000000D1\r
-:204FE0000000000000000000000000000000000000000000000000000000000000000000B1\r
-:20500000000000000000000000000000000000000000000000000000000000000000000090\r
-:20502000000000000000000000000000000000000000000000000000000000000000000070\r
-:20504000000000000000000000000000000000000000000000000000000000000000000050\r
-:20506000000000000000000000000000000000000000000000000000000000000000000030\r
-:20508000000000000000000000000000000000000000000000000000000000000000000010\r
-:2050A0000000000000000000000000000000000000000000000000000000000000000000F0\r
-:2050C0000000000000000000000000000000000000000000000000000000000000000000D0\r
-:2050E0000000000000000000000000000000000000000000000000000000000000000000B0\r
-:2051000000000000000000000000000000000000000000000000000000000000000000008F\r
-:2051200000000000000000000000000000000000000000000000000000000000000000006F\r
-:2051400000000000000000000000000000000000000000000000000000000000000000004F\r
-:2051600000000000000000000000000000000000000000000000000000000000000000002F\r
-:2051800000000000000000000000000000000000000000000000000000000000000000000F\r
-:2051A0000000000000000000000000000000000000000000000000000000000000000000EF\r
-:2051C0000000000000000000000000000000000000000000000000000000000000000000CF\r
-:2051E0000000000000000000000000000000000000000000000000000000000000000000AF\r
-:2052000000000000000000000000000000000000000000000000000000000000000000008E\r
-:2052200000000000000000000000000000000000000000000000000000000000000000006E\r
-:2052400000000000000000000000000000000000000000000000000000000000000000004E\r
-:2052600000000000000000000000000000000000000000000000000000000000000000002E\r
-:2052800000000000000000000000000000000000000000000000000000000000000000000E\r
-:2052A0000000000000000000000000000000000000000000000000000000000000000000EE\r
-:2052C0000000000000000000000000000000000000000000000000000000000000000000CE\r
-:2052E0000000000000000000000000000000000000000000000000000000000000000000AE\r
-:2053000000000000000000000000000000000000000000000000000000000000000000008D\r
-:2053200000000000000000000000000000000000000000000000000000000000000000006D\r
-:2053400000000000000000000000000000000000000000000000000000000000000000004D\r
-:2053600000000000000000000000000000000000000000000000000000000000000000002D\r
-:2053800000000000000000000000000000000000000000000000000000000000000000000D\r
-:2053A0000000000000000000000000000000000000000000000000000000000000000000ED\r
-:2053C0000000000000000000000000000000000000000000000000000000000000000000CD\r
-:2053E0000000000000000000000000000000000000000000000000000000000000000000AD\r
-:2054000000000000000000000000000000000000000000000000000000000000000000008C\r
-:2054200000000000000000000000000000000000000000000000000000000000000000006C\r
-:2054400000000000000000000000000000000000000000000000000000000000000000004C\r
-:2054600000000000000000000000000000000000000000000000000000000000000000002C\r
-:2054800000000000000000000000000000000000000000000000000000000000000000000C\r
-:2054A0000000000000000000000000000000000000000000000000000000000000000000EC\r
-:2054C0000000000000000000000000000000000000000000000000000000000000000000CC\r
-:2054E0000000000000000000000000000000000000000000000000000000000000000000AC\r
-:2055000000000000000000000000000000000000000000000000000000000000000000008B\r
-:2055200000000000000000000000000000000000000000000000000000000000000000006B\r
-:2055400000000000000000000000000000000000000000000000000000000000000000004B\r
-:2055600000000000000000000000000000000000000000000000000000000000000000002B\r
-:2055800000000000000000000000000000000000000000000000000000000000000000000B\r
-:2055A0000000000000000000000000000000000000000000000000000000000000000000EB\r
-:2055C0000000000000000000000000000000000000000000000000000000000000000000CB\r
-:2055E0000000000000000000000000000000000000000000000000000000000000000000AB\r
-:2056000000000000000000000000000000000000000000000000000000000000000000008A\r
-:2056200000000000000000000000000000000000000000000000000000000000000000006A\r
-:2056400000000000000000000000000000000000000000000000000000000000000000004A\r
-:2056600000000000000000000000000000000000000000000000000000000000000000002A\r
-:2056800000000000000000000000000000000000000000000000000000000000000000000A\r
-:2056A0000000000000000000000000000000000000000000000000000000000000000000EA\r
-:2056C0000000000000000000000000000000000000000000000000000000000000000000CA\r
-:2056E0000000000000000000000000000000000000000000000000000000000000000000AA\r
-:20570000000000000000000000000000000000000000000000000000000000000000000089\r
-:20572000000000000000000000000000000000000000000000000000000000000000000069\r
-:20574000000000000000000000000000000000000000000000000000000000000000000049\r
-:20576000000000000000000000000000000000000000000000000000000000000000000029\r
-:20578000000000000000000000000000000000000000000000000000000000000000000009\r
-:2057A0000000000000000000000000000000000000000000000000000000000000000000E9\r
-:2057C0000000000000000000000000000000000000000000000000000000000000000000C9\r
-:2057E0000000000000000000000000000000000000000000000000000000000000000000A9\r
-:20580000000000000000000000000000000000000000000000000000000000000000000088\r
-:20582000000000000000000000000000000000000000000000000000000000000000000068\r
-:20584000000000000000000000000000000000000000000000000000000000000000000048\r
-:20586000000000000000000000000000000000000000000000000000000000000000000028\r
-:20588000000000000000000000000000000000000000000000000000000000000000000008\r
-:2058A0000000000000000000000000000000000000000000000000000000000000000000E8\r
-:2058C0000000000000000000000000000000000000000000000000000000000000000000C8\r
-:2058E0000000000000000000000000000000000000000000000000000000000000000000A8\r
-:20590000000000000000000000000000000000000000000000000000000000000000000087\r
-:20592000000000000000000000000000000000000000000000000000000000000000000067\r
-:20594000000000000000000000000000000000000000000000000000000000000000000047\r
-:20596000000000000000000000000000000000000000000000000000000000000000000027\r
-:20598000000000000000000000000000000000000000000000000000000000000000000007\r
-:2059A0000000000000000000000000000000000000000000000000000000000000000000E7\r
-:2059C0000000000000000000000000000000000000000000000000000000000000000000C7\r
-:2059E0000000000000000000000000000000000000000000000000000000000000000000A7\r
-:205A0000000000000000000000000000000000000000000000000000000000000000000086\r
-:205A2000000000000000000000000000000000000000000000000000000000000000000066\r
-:205A4000000000000000000000000000000000000000000000000000000000000000000046\r
-:205A6000000000000000000000000000000000000000000000000000000000000000000026\r
-:205A8000000000000000000000000000000000000000000000000000000000000000000006\r
-:205AA0000000000000000000000000000000000000000000000000000000000000000000E6\r
-:205AC0000000000000000000000000000000000000000000000000000000000000000000C6\r
-:205AE0000000000000000000000000000000000000000000000000000000000000000000A6\r
-:205B0000000000000000000000000000000000000000000000000000000000000000000085\r
-:205B2000000000000000000000000000000000000000000000000000000000000000000065\r
-:205B4000000000000000000000000000000000000000000000000000000000000000000045\r
-:205B6000000000000000000000000000000000000000000000000000000000000000000025\r
-:205B8000000000000000000000000000000000000000000000000000000000000000000005\r
-:205BA0000000000000000000000000000000000000000000000000000000000000000000E5\r
-:205BC0000000000000000000000000000000000000000000000000000000000000000000C5\r
-:205BE0000000000000000000000000000000000000000000000000000000000000000000A5\r
-:205C0000000000000000000000000000000000000000000000000000000000000000000084\r
-:205C2000000000000000000000000000000000000000000000000000000000000000000064\r
-:205C4000000000000000000000000000000000000000000000000000000000000000000044\r
-:205C6000000000000000000000000000000000000000000000000000000000000000000024\r
-:205C8000000000000000000000000000000000000000000000000000000000000000000004\r
-:205CA0000000000000000000000000000000000000000000000000000000000000000000E4\r
-:205CC0000000000000000000000000000000000000000000000000000000000000000000C4\r
-:205CE0000000000000000000000000000000000000000000000000000000000000000000A4\r
-:205D0000000000000000000000000000000000000000000000000000000000000000000083\r
-:205D2000000000000000000000000000000000000000000000000000000000000000000063\r
-:205D4000000000000000000000000000000000000000000000000000000000000000000043\r
-:205D6000000000000000000000000000000000000000000000000000000000000000000023\r
-:205D8000000000000000000000000000000000000000000000000000000000000000000003\r
-:205DA0000000000000000000000000000000000000000000000000000000000000000000E3\r
-:205DC0000000000000000000000000000000000000000000000000000000000000000000C3\r
-:205DE0000000000000000000000000000000000000000000000000000000000000000000A3\r
-:205E0000000000000000000000000000000000000000000000000000000000000000000082\r
-:205E2000000000000000000000000000000000000000000000000000000000000000000062\r
-:205E4000000000000000000000000000000000000000000000000000000000000000000042\r
-:205E6000000000000000000000000000000000000000000000000000000000000000000022\r
-:205E8000000000000000000000000000000000000000000000000000000000000000000002\r
-:205EA0000000000000000000000000000000000000000000000000000000000000000000E2\r
-:205EC0000000000000000000000000000000000000000000000000000000000000000000C2\r
-:205EE0000000000000000000000000000000000000000000000000000000000000000000A2\r
-:205F0000000000000000000000000000000000000000000000000000000000000000000081\r
-:205F2000000000000000000000000000000000000000000000000000000000000000000061\r
-:205F4000000000000000000000000000000000000000000000000000000000000000000041\r
-:205F6000000000000000000000000000000000000000000000000000000000000000000021\r
-:205F8000000000000000000000000000000000000000000000000000000000000000000001\r
-:205FA0000000000000000000000000000000000000000000000000000000000000000000E1\r
-:205FC0000000000000000000000000000000000000000000000000000000000000000000C1\r
-:205FE0000000000000000000000000000000000000000000000000000000000000000000A1\r
-:20600000000000000000000000000000000000000000000000000000000000000000000080\r
-:20602000000000000000000000000000000000000000000000000000000000000000000060\r
-:20604000000000000000000000000000000000000000000000000000000000000000000040\r
-:20606000000000000000000000000000000000000000000000000000000000000000000020\r
-:20608000000000000000000000000000000000000000000000000000000000000000000000\r
-:2060A0000000000000000000000000000000000000000000000000000000000000000000E0\r
-:2060C0000000000000000000000000000000000000000000000000000000000000000000C0\r
-:2060E0000000000000000000000000000000000000000000000000000000000000000000A0\r
-:2061000000000000000000000000000000000000000000000000000000000000000000007F\r
-:2061200000000000000000000000000000000000000000000000000000000000000000005F\r
-:2061400000000000000000000000000000000000000000000000000000000000000000003F\r
-:2061600000000000000000000000000000000000000000000000000000000000000000001F\r
-:206180000000000000000000000000000000000000000000000000000000000000000000FF\r
-:2061A0000000000000000000000000000000000000000000000000000000000000000000DF\r
-:2061C0000000000000000000000000000000000000000000000000000000000000000000BF\r
-:2061E00000000000000000000000000000000000000000000000000000000000000000009F\r
-:2062000000000000000000000000000000000000000000000000000000000000000000007E\r
-:2062200000000000000000000000000000000000000000000000000000000000000000005E\r
-:2062400000000000000000000000000000000000000000000000000000000000000000003E\r
-:2062600000000000000000000000000000000000000000000000000000000000000000001E\r
-:206280000000000000000000000000000000000000000000000000000000000000000000FE\r
-:2062A0000000000000000000000000000000000000000000000000000000000000000000DE\r
-:2062C0000000000000000000000000000000000000000000000000000000000000000000BE\r
-:2062E00000000000000000000000000000000000000000000000000000000000000000009E\r
-:2063000000000000000000000000000000000000000000000000000000000000000000007D\r
-:2063200000000000000000000000000000000000000000000000000000000000000000005D\r
-:2063400000000000000000000000000000000000000000000000000000000000000000003D\r
-:2063600000000000000000000000000000000000000000000000000000000000000000001D\r
-:206380000000000000000000000000000000000000000000000000000000000000000000FD\r
-:2063A0000000000000000000000000000000000000000000000000000000000000000000DD\r
-:2063C0000000000000000000000000000000000000000000000000000000000000000000BD\r
-:2063E00000000000000000000000000000000000000000000000000000000000000000009D\r
-:2064000000000000000000000000000000000000000000000000000000000000000000007C\r
-:2064200000000000000000000000000000000000000000000000000000000000000000005C\r
-:2064400000000000000000000000000000000000000000000000000000000000000000003C\r
-:2064600000000000000000000000000000000000000000000000000000000000000000001C\r
-:206480000000000000000000000000000000000000000000000000000000000000000000FC\r
-:2064A0000000000000000000000000000000000000000000000000000000000000000000DC\r
-:2064C0000000000000000000000000000000000000000000000000000000000000000000BC\r
-:2064E00000000000000000000000000000000000000000000000000000000000000000009C\r
-:2065000000000000000000000000000000000000000000000000000000000000000000007B\r
-:2065200000000000000000000000000000000000000000000000000000000000000000005B\r
-:2065400000000000000000000000000000000000000000000000000000000000000000003B\r
-:2065600000000000000000000000000000000000000000000000000000000000000000001B\r
-:206580000000000000000000000000000000000000000000000000000000000000000000FB\r
-:2065A0000000000000000000000000000000000000000000000000000000000000000000DB\r
-:2065C0000000000000000000000000000000000000000000000000000000000000000000BB\r
-:2065E00000000000000000000000000000000000000000000000000000000000000000009B\r
-:2066000000000000000000000000000000000000000000000000000000000000000000007A\r
-:2066200000000000000000000000000000000000000000000000000000000000000000005A\r
-:2066400000000000000000000000000000000000000000000000000000000000000000003A\r
-:2066600000000000000000000000000000000000000000000000000000000000000000001A\r
-:206680000000000000000000000000000000000000000000000000000000000000000000FA\r
-:2066A0000000000000000000000000000000000000000000000000000000000000000000DA\r
-:2066C0000000000000000000000000000000000000000000000000000000000000000000BA\r
-:2066E00000000000000000000000000000000000000000000000000000000000000000009A\r
-:20670000000000000000000000000000000000000000000000000000000000000000000079\r
-:20672000000000000000000000000000000000000000000000000000000000000000000059\r
-:20674000000000000000000000000000000000000000000000000000000000000000000039\r
-:20676000000000000000000000000000000000000000000000000000000000000000000019\r
-:206780000000000000000000000000000000000000000000000000000000000000000000F9\r
-:2067A0000000000000000000000000000000000000000000000000000000000000000000D9\r
-:2067C0000000000000000000000000000000000000000000000000000000000000000000B9\r
-:2067E000000000000000000000000000000000000000000000000000000000000000000099\r
-:20680000000000000000000000000000000000000000000000000000000000000000000078\r
-:20682000000000000000000000000000000000000000000000000000000000000000000058\r
-:20684000000000000000000000000000000000000000000000000000000000000000000038\r
-:20686000000000000000000000000000000000000000000000000000000000000000000018\r
-:206880000000000000000000000000000000000000000000000000000000000000000000F8\r
-:2068A0000000000000000000000000000000000000000000000000000000000000000000D8\r
-:2068C0000000000000000000000000000000000000000000000000000000000000000000B8\r
-:2068E000000000000000000000000000000000000000000000000000000000000000000098\r
-:20690000000000000000000000000000000000000000000000000000000000000000000077\r
-:20692000000000000000000000000000000000000000000000000000000000000000000057\r
-:20694000000000000000000000000000000000000000000000000000000000000000000037\r
-:20696000000000000000000000000000000000000000000000000000000000000000000017\r
-:206980000000000000000000000000000000000000000000000000000000000000000000F7\r
-:2069A0000000000000000000000000000000000000000000000000000000000000000000D7\r
-:2069C0000000000000000000000000000000000000000000000000000000000000000000B7\r
-:2069E000000000000000000000000000000000000000000000000000000000000000000097\r
-:206A0000000000000000000000000000000000000000000000000000000000000000000076\r
-:206A2000000000000000000000000000000000000000000000000000000000000000000056\r
-:206A4000000000000000000000000000000000000000000000000000000000000000000036\r
-:206A6000000000000000000000000000000000000000000000000000000000000000000016\r
-:206A80000000000000000000000000000000000000000000000000000000000000000000F6\r
-:206AA0000000000000000000000000000000000000000000000000000000000000000000D6\r
-:206AC0000000000000000000000000000000000000000000000000000000000000000000B6\r
-:206AE000000000000000000000000000000000000000000000000000000000000000000096\r
-:206B0000000000000000000000000000000000000000000000000000000000000000000075\r
-:206B2000000000000000000000000000000000000000000000000000000000000000000055\r
-:206B4000000000000000000000000000000000000000000000000000000000000000000035\r
-:206B6000000000000000000000000000000000000000000000000000000000000000000015\r
-:206B80000000000000000000000000000000000000000000000000000000000000000000F5\r
-:206BA0000000000000000000000000000000000000000000000000000000000000000000D5\r
-:206BC0000000000000000000000000000000000000000000000000000000000000000000B5\r
-:206BE000000000000000000000000000000000000000000000000000000000000000000095\r
-:206C0000000000000000000000000000000000000000000000000000000000000000000074\r
-:206C2000000000000000000000000000000000000000000000000000000000000000000054\r
-:206C4000000000000000000000000000000000000000000000000000000000000000000034\r
-:206C6000000000000000000000000000000000000000000000000000000000000000000014\r
-:206C80000000000000000000000000000000000000000000000000000000000000000000F4\r
-:206CA0000000000000000000000000000000000000000000000000000000000000000000D4\r
-:206CC0000000000000000000000000000000000000000000000000000000000000000000B4\r
-:206CE000000000000000000000000000000000000000000000000000000000000000000094\r
-:206D0000000000000000000000000000000000000000000000000000000000000000000073\r
-:206D2000000000000000000000000000000000000000000000000000000000000000000053\r
-:206D4000000000000000000000000000000000000000000000000000000000000000000033\r
-:206D6000000000000000000000000000000000000000000000000000000000000000000013\r
-:206D80000000000000000000000000000000000000000000000000000000000000000000F3\r
-:206DA0000000000000000000000000000000000000000000000000000000000000000000D3\r
-:206DC0000000000000000000000000000000000000000000000000000000000000000000B3\r
-:206DE000000000000000000000000000000000000000000000000000000000000000000093\r
-:206E0000000000000000000000000000000000000000000000000000000000000000000072\r
-:206E2000000000000000000000000000000000000000000000000000000000000000000052\r
-:206E4000000000000000000000000000000000000000000000000000000000000000000032\r
-:206E6000000000000000000000000000000000000000000000000000000000000000000012\r
-:206E80000000000000000000000000000000000000000000000000000000000000000000F2\r
-:206EA0000000000000000000000000000000000000000000000000000000000000000000D2\r
-:206EC0000000000000000000000000000000000000000000000000000000000000000000B2\r
-:206EE000000000000000000000000000000000000000000000000000000000000000000092\r
-:206F0000000000000000000000000000000000000000000000000000000000000000000071\r
-:206F2000000000000000000000000000000000000000000000000000000000000000000051\r
-:206F4000000000000000000000000000000000000000000000000000000000000000000031\r
-:206F6000000000000000000000000000000000000000000000000000000000000000000011\r
-:206F80000000000000000000000000000000000000000000000000000000000000000000F1\r
-:206FA0000000000000000000000000000000000000000000000000000000000000000000D1\r
-:206FC0000000000000000000000000000000000000000000000000000000000000000000B1\r
-:206FE000000000000000000000000000000000000000000000000000000000000000000091\r
-:20700000000000000000000000000000000000000000000000000000000000000000000070\r
-:20702000000000000000000000000000000000000000000000000000000000000000000050\r
-:20704000000000000000000000000000000000000000000000000000000000000000000030\r
-:20706000000000000000000000000000000000000000000000000000000000000000000010\r
-:207080000000000000000000000000000000000000000000000000000000000000000000F0\r
-:2070A0000000000000000000000000000000000000000000000000000000000000000000D0\r
-:2070C0000000000000000000000000000000000000000000000000000000000000000000B0\r
-:2070E000000000000000000000000000000000000000000000000000000000000000000090\r
-:2071000000000000000000000000000000000000000000000000000000000000000000006F\r
-:2071200000000000000000000000000000000000000000000000000000000000000000004F\r
-:2071400000000000000000000000000000000000000000000000000000000000000000002F\r
-:2071600000000000000000000000000000000000000000000000000000000000000000000F\r
-:207180000000000000000000000000000000000000000000000000000000000000000000EF\r
-:2071A0000000000000000000000000000000000000000000000000000000000000000000CF\r
-:2071C0000000000000000000000000000000000000000000000000000000000000000000AF\r
-:2071E00000000000000000000000000000000000000000000000000000000000000000008F\r
-:2072000000000000000000000000000000000000000000000000000000000000000000006E\r
-:2072200000000000000000000000000000000000000000000000000000000000000000004E\r
-:2072400000000000000000000000000000000000000000000000000000000000000000002E\r
-:2072600000000000000000000000000000000000000000000000000000000000000000000E\r
-:207280000000000000000000000000000000000000000000000000000000000000000000EE\r
-:2072A0000000000000000000000000000000000000000000000000000000000000000000CE\r
-:2072C0000000000000000000000000000000000000000000000000000000000000000000AE\r
-:2072E00000000000000000000000000000000000000000000000000000000000000000008E\r
-:2073000000000000000000000000000000000000000000000000000000000000000000006D\r
-:2073200000000000000000000000000000000000000000000000000000000000000000004D\r
-:2073400000000000000000000000000000000000000000000000000000000000000000002D\r
-:2073600000000000000000000000000000000000000000000000000000000000000000000D\r
-:207380000000000000000000000000000000000000000000000000000000000000000000ED\r
-:2073A0000000000000000000000000000000000000000000000000000000000000000000CD\r
-:2073C0000000000000000000000000000000000000000000000000000000000000000000AD\r
-:2073E00000000000000000000000000000000000000000000000000000000000000000008D\r
-:2074000000000000000000000000000000000000000000000000000000000000000000006C\r
-:2074200000000000000000000000000000000000000000000000000000000000000000004C\r
-:2074400000000000000000000000000000000000000000000000000000000000000000002C\r
-:2074600000000000000000000000000000000000000000000000000000000000000000000C\r
-:207480000000000000000000000000000000000000000000000000000000000000000000EC\r
-:2074A0000000000000000000000000000000000000000000000000000000000000000000CC\r
-:2074C0000000000000000000000000000000000000000000000000000000000000000000AC\r
-:2074E00000000000000000000000000000000000000000000000000000000000000000008C\r
-:2075000000000000000000000000000000000000000000000000000000000000000000006B\r
-:2075200000000000000000000000000000000000000000000000000000000000000000004B\r
-:2075400000000000000000000000000000000000000000000000000000000000000000002B\r
-:2075600000000000000000000000000000000000000000000000000000000000000000000B\r
-:207580000000000000000000000000000000000000000000000000000000000000000000EB\r
-:2075A0000000000000000000000000000000000000000000000000000000000000000000CB\r
-:2075C0000000000000000000000000000000000000000000000000000000000000000000AB\r
-:2075E00000000000000000000000000000000000000000000000000000000000000000008B\r
-:2076000000000000000000000000000000000000000000000000000000000000000000006A\r
-:2076200000000000000000000000000000000000000000000000000000000000000000004A\r
-:2076400000000000000000000000000000000000000000000000000000000000000000002A\r
-:2076600000000000000000000000000000000000000000000000000000000000000000000A\r
-:207680000000000000000000000000000000000000000000000000000000000000000000EA\r
-:2076A0000000000000000000000000000000000000000000000000000000000000000000CA\r
-:2076C0000000000000000000000000000000000000000000000000000000000000000000AA\r
-:2076E00000000000000000000000000000000000000000000000000000000000000000008A\r
-:20770000000000000000000000000000000000000000000000000000000000000000000069\r
-:20772000000000000000000000000000000000000000000000000000000000000000000049\r
-:20774000000000000000000000000000000000000000000000000000000000000000000029\r
-:20776000000000000000000000000000000000000000000000000000000000000000000009\r
-:207780000000000000000000000000000000000000000000000000000000000000000000E9\r
-:2077A0000000000000000000000000000000000000000000000000000000000000000000C9\r
-:2077C0000000000000000000000000000000000000000000000000000000000000000000A9\r
-:2077E000000000000000000000000000000000000000000000000000000000000000000089\r
-:20780000000000000000000000000000000000000000000000000000000000000000000068\r
-:20782000000000000000000000000000000000000000000000000000000000000000000048\r
-:20784000000000000000000000000000000000000000000000000000000000000000000028\r
-:20786000000000000000000000000000000000000000000000000000000000000000000008\r
-:207880000000000000000000000000000000000000000000000000000000000000000000E8\r
-:2078A0000000000000000000000000000000000000000000000000000000000000000000C8\r
-:2078C0000000000000000000000000000000000000000000000000000000000000000000A8\r
-:2078E000000000000000000000000000000000000000000000000000000000000000000088\r
-:20790000000000000000000000000000000000000000000000000000000000000000000067\r
-:20792000000000000000000000000000000000000000000000000000000000000000000047\r
-:20794000000000000000000000000000000000000000000000000000000000000000000027\r
-:20796000000000000000000000000000000000000000000000000000000000000000000007\r
-:207980000000000000000000000000000000000000000000000000000000000000000000E7\r
-:2079A0000000000000000000000000000000000000000000000000000000000000000000C7\r
-:2079C0000000000000000000000000000000000000000000000000000000000000000000A7\r
-:2079E000000000000000000000000000000000000000000000000000000000000000000087\r
-:207A0000000000000000000000000000000000000000000000000000000000000000000066\r
-:207A2000000000000000000000000000000000000000000000000000000000000000000046\r
-:207A4000000000000000000000000000000000000000000000000000000000000000000026\r
-:207A6000000000000000000000000000000000000000000000000000000000000000000006\r
-:207A80000000000000000000000000000000000000000000000000000000000000000000E6\r
-:207AA0000000000000000000000000000000000000000000000000000000000000000000C6\r
-:207AC0000000000000000000000000000000000000000000000000000000000000000000A6\r
-:207AE000000000000000000000000000000000000000000000000000000000000000000086\r
-:207B0000000000000000000000000000000000000000000000000000000000000000000065\r
-:207B2000000000000000000000000000000000000000000000000000000000000000000045\r
-:207B4000000000000000000000000000000000000000000000000000000000000000000025\r
-:207B6000000000000000000000000000000000000000000000000000000000000000000005\r
-:207B80000000000000000000000000000000000000000000000000000000000000000000E5\r
-:207BA0000000000000000000000000000000000000000000000000000000000000000000C5\r
-:207BC0000000000000000000000000000000000000000000000000000000000000000000A5\r
-:207BE000000000000000000000000000000000000000000000000000000000000000000085\r
-:207C0000000000000000000000000000000000000000000000000000000000000000000064\r
-:207C2000000000000000000000000000000000000000000000000000000000000000000044\r
-:207C4000000000000000000000000000000000000000000000000000000000000000000024\r
-:207C6000000000000000000000000000000000000000000000000000000000000000000004\r
-:207C80000000000000000000000000000000000000000000000000000000000000000000E4\r
-:207CA0000000000000000000000000000000000000000000000000000000000000000000C4\r
-:207CC0000000000000000000000000000000000000000000000000000000000000000000A4\r
-:207CE000000000000000000000000000000000000000000000000000000000000000000084\r
-:207D0000000000000000000000000000000000000000000000000000000000000000000063\r
-:207D2000000000000000000000000000000000000000000000000000000000000000000043\r
-:207D4000000000000000000000000000000000000000000000000000000000000000000023\r
-:207D6000000000000000000000000000000000000000000000000000000000000000000003\r
-:207D80000000000000000000000000000000000000000000000000000000000000000000E3\r
-:207DA0000000000000000000000000000000000000000000000000000000000000000000C3\r
-:207DC0000000000000000000000000000000000000000000000000000000000000000000A3\r
-:207DE000000000000000000000000000000000000000000000000000000000000000000083\r
-:207E0000000000000000000000000000000000000000000000000000000000000000000062\r
-:207E2000000000000000000000000000000000000000000000000000000000000000000042\r
-:207E4000000000000000000000000000000000000000000000000000000000000000000022\r
-:207E6000000000000000000000000000000000000000000000000000000000000000000002\r
-:207E80000000000000000000000000000000000000000000000000000000000000000000E2\r
-:207EA0000000000000000000000000000000000000000000000000000000000000000000C2\r
-:207EC0000000000000000000000000000000000000000000000000000000000000000000A2\r
-:207EE000000000000000000000000000000000000000000000000000000000000000000082\r
-:207F0000000000000000000000000000000000000000000000000000000000000000000061\r
-:207F2000000000000000000000000000000000000000000000000000000000000000000041\r
-:207F4000000000000000000000000000000000000000000000000000000000000000000021\r
-:207F6000000000000000000000000000000000000000000000000000000000000000000001\r
-:207F80000000000000000000000000000000000000000000000000000000000000000000E1\r
-:207FA0000000000000000000000000000000000000000000000000000000000000000000C1\r
-:207FC0000000000000000000000000000000000000000000000000000000000000000000A1\r
-:207FE00000000000000000000000000000000000000000000000000000002D8C0080000048\r
+:200FE0000000000000000000000000000000000000000000000000000000CB800080000026\r
 :00000001FF\r
index 4b6c9b5..aa1c955 100644 (file)
@@ -22,6 +22,7 @@ add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_d_io
 \r
 add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_instruction\r
 add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_int_d_bus\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_exec_cycle\r
 \r
 view structure\r
 view signals\r
index c09ae36..d67e122 100644 (file)
@@ -66,15 +66,15 @@ architecture stimulus of testbench_motones_sim is
     constant base_clock_time : time := 40 ns;
 
 
-    signal dbg_cpu_clk  : out std_logic;
-    signal dbg_ppu_clk  : out std_logic;
-    signal dbg_mem_clk  : out std_logic;
-    signal dbg_addr : out std_logic_vector( 16 - 1 downto 0);
-    signal dbg_d_io : out std_logic_vector( 8 - 1 downto 0);
-    signal dbg_vram_ad  : out std_logic_vector (7 downto 0);
-    signal dbg_vram_a   : out std_logic_vector (13 downto 8);
-    signal dbg_instruction  : out std_logic_vector(7 downto 0);
-    signal dbg_int_d_bus  : out std_logic_vector(7 downto 0);
+    signal dbg_cpu_clk  : std_logic;
+    signal dbg_ppu_clk  : std_logic;
+    signal dbg_mem_clk  : std_logic;
+    signal dbg_addr : std_logic_vector( 16 - 1 downto 0);
+    signal dbg_d_io : std_logic_vector( 8 - 1 downto 0);
+    signal dbg_vram_ad  : std_logic_vector (7 downto 0);
+    signal dbg_vram_a   : std_logic_vector (13 downto 8);
+    signal dbg_instruction  : std_logic_vector(7 downto 0);
+    signal dbg_int_d_bus  : std_logic_vector(7 downto 0);
 
 begin