OSDN Git Service

Change-Id: I2da71e8392b5ae22b57a492d4f9bdef02b0aed4b
authoryujiro_kaeko <zyangalianhamster01@gmail.com>
Sun, 10 Jul 2011 00:06:50 +0000 (09:06 +0900)
committeryujiro_kaeko <zyangalianhamster01@gmail.com>
Sun, 10 Jul 2011 00:06:50 +0000 (09:06 +0900)
VGADisplay/Verilog/vga_generate.v
VGADisplay/Verilog/vga_top.v
VGADisplay/Verilog/vram.v
VGADisplay/src/FIFO.nsh [new file with mode: 0644]
VGADisplay/src/FIFO.nsl [deleted file]
VGADisplay/src/vga_generate.nsh
VGADisplay/src/vga_generate.nsl
VGADisplay/src/vga_top.nsl

index 1886b9c..57555a9 100644 (file)
@@ -1,38 +1,38 @@
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jun 30 20:35:33 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Fri Jul 08 20:38:17 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp :NON PROFIT USER:\r
 */\r
 \r
-module vga_generate ( p_reset , m_clock , pix32_data_i , v_sync_o , h_sync_o , vga_red_o , vga_green_o , vga_blue_o , h_cnt_o , ack_req_32dot , req_32dot );\r
+module vga_generate ( p_reset , m_clock , i_pix32_data , o_v_sync , o_h_sync , o_vga_red , o_vga_green , o_vga_blue , o_h_cnt , fi_ack_req_32dot , fo_req_32dot );\r
   input p_reset;\r
   input m_clock;\r
-  input [31:0] pix32_data_i;\r
-  output v_sync_o;\r
-  output h_sync_o;\r
-  output [3:0] vga_red_o;\r
-  output [3:0] vga_green_o;\r
-  output [3:0] vga_blue_o;\r
-  output [9:0] h_cnt_o;\r
-  input ack_req_32dot;\r
-  output req_32dot;\r
-  wire disp_data;\r
-  reg v_sync;\r
-  reg h_sync;\r
-  reg h_flg;\r
-  reg vdata_flg;\r
-  reg hdata_flg;\r
-  reg [9:0] h_cnt;\r
-  reg [18:0] v_cnt;\r
-  reg [4:0] bit32_cnt;\r
-  reg reg_flg;\r
-  reg reg_cnt;\r
+  input [31:0] i_pix32_data;\r
+  output o_v_sync;\r
+  output o_h_sync;\r
+  output [3:0] o_vga_red;\r
+  output [3:0] o_vga_green;\r
+  output [3:0] o_vga_blue;\r
+  output [9:0] o_h_cnt;\r
+  input fi_ack_req_32dot;\r
+  output fo_req_32dot;\r
+  wire fs_disp_data;\r
+  reg r_v_sync;\r
+  reg r_h_sync;\r
+  reg r_h_flg;\r
+  reg r_vdata_flg;\r
+  reg r_hdata_flg;\r
+  reg [9:0] r_h_cnt;\r
+  reg [18:0] r_v_cnt;\r
+  reg [4:0] r_bit32_cnt;\r
+  reg r_flg;\r
+  reg r_cnt;\r
   reg [31:0] r1;\r
   reg [31:0] r2;\r
-  reg data_select_flag;\r
-  wire [3:0] red;\r
-  wire [3:0] green;\r
-  wire [3:0] blue;\r
-  wire sel_disp_data;\r
+  reg r_data_select_flag;\r
+  wire [3:0] w_red;\r
+  wire [3:0] w_green;\r
+  wire [3:0] w_blue;\r
+  wire w_disp_data;\r
   wire _net_0;\r
   wire _net_1;\r
   wire _net_2;\r
@@ -253,12 +253,12 @@ module vga_generate ( p_reset , m_clock , pix32_data_i , v_sync_o , h_sync_o , v
   wire _net_217;\r
   wire _net_218;\r
 \r
-   assign  disp_data = _net_10;\r
-   assign  red = 4'b0000;\r
-   assign  green = 4'b0000;\r
-   assign  blue = ((_net_20)?4'b0000:4'b0)|\r
+   assign  fs_disp_data = _net_10;\r
+   assign  w_red = 4'b0000;\r
+   assign  w_green = 4'b0000;\r
+   assign  w_blue = ((_net_20)?4'b0000:4'b0)|\r
     ((_net_18)?4'b1111:4'b0);\r
-   assign  sel_disp_data = ((_net_218)?r2[31]:1'b0)|\r
+   assign  w_disp_data = ((_net_218)?r2[31]:1'b0)|\r
     ((_net_215)?r2[30]:1'b0)|\r
     ((_net_212)?r2[29]:1'b0)|\r
     ((_net_209)?r2[28]:1'b0)|\r
@@ -322,332 +322,332 @@ module vga_generate ( p_reset , m_clock , pix32_data_i , v_sync_o , h_sync_o , v
     ((_net_34)?r1[2]:1'b0)|\r
     ((_net_31)?r1[1]:1'b0)|\r
     ((_net_27)?r1[0]:1'b0);\r
-   assign  _net_0 = (h_cnt)==(10'b1100100000);\r
-   assign  _net_1 = (h_cnt)==(10'b1100001110);\r
-   assign  _net_2 = (h_cnt)==(10'b0010001110);\r
-   assign  _net_3 = (h_cnt)==(10'b0001100000);\r
+   assign  _net_0 = (r_h_cnt)==(10'b1100100000);\r
+   assign  _net_1 = (r_h_cnt)==(10'b1100001110);\r
+   assign  _net_2 = (r_h_cnt)==(10'b0010001110);\r
+   assign  _net_3 = (r_h_cnt)==(10'b0001100000);\r
    assign  _net_4 = (((~_net_0)&(~_net_1))&(~_net_2))&(~_net_3);\r
-   assign  _net_5 = (v_cnt)==(19'b1100101110000011111);\r
-   assign  _net_6 = (v_cnt)==(19'b1100011110011011111);\r
-   assign  _net_7 = (v_cnt)==(19'b0000110000011011111);\r
-   assign  _net_8 = (v_cnt)==(19'b0000000011000111111);\r
+   assign  _net_5 = (r_v_cnt)==(19'b1100101110000011111);\r
+   assign  _net_6 = (r_v_cnt)==(19'b1100011110011011111);\r
+   assign  _net_7 = (r_v_cnt)==(19'b0000110000011011111);\r
+   assign  _net_8 = (r_v_cnt)==(19'b0000000011000111111);\r
    assign  _net_9 = (((~_net_5)&(~_net_6))&(~_net_7))&(~_net_8);\r
-   assign  _net_10 = hdata_flg&vdata_flg;\r
-   assign  _net_11 = (((h_cnt) >= ((10'b0010001110)+(10'b1001100001)))&((h_cnt) <= (((10'b1100001110)+(10'b1001100001))+(10'b1111111111))))&((v_cnt) >= ((19'b0000110000011011111)+(19'b1111111111111100001)))&((v_cnt) <= (((19'b1100011110011011111)+(19'b1111111111111100001))+(19'b1111111111111111111)));\r
-   assign  _net_12 = (bit32_cnt)==(5'b00000);\r
+   assign  _net_10 = r_hdata_flg&r_vdata_flg;\r
+   assign  _net_11 = (((r_h_cnt) >= ((10'b0010001110)+(10'b1001100001)))&((r_h_cnt) <= (((10'b1100001110)+(10'b1001100001))+(10'b1111111111))))&((r_v_cnt) >= ((19'b0000110000011011111)+(19'b1111111111111100001)))&((r_v_cnt) <= (((19'b1100011110011011111)+(19'b1111111111111100001))+(19'b1111111111111111111)));\r
+   assign  _net_12 = (r_bit32_cnt)==(5'b00000);\r
    assign  _net_13 = _net_11&_net_12;\r
-   assign  _net_14 = (bit32_cnt)==(5'b11111);\r
+   assign  _net_14 = (r_bit32_cnt)==(5'b11111);\r
    assign  _net_15 = _net_11&_net_14;\r
    assign  _net_16 = _net_11&(~_net_14);\r
    assign  _net_17 = ~_net_11;\r
-   assign  _net_18 = hdata_flg&vdata_flg;\r
+   assign  _net_18 = r_hdata_flg&r_vdata_flg;\r
    assign  _net_19 = ~_net_18;\r
    assign  _net_20 = ~_net_18;\r
    assign  _net_21 = ~_net_18;\r
-   assign  _net_22 = ack_req_32dot&data_select_flag;\r
-   assign  _net_23 = ack_req_32dot&(~data_select_flag);\r
-   assign  _net_24 = ~reg_flg;\r
-   assign  _net_25 = (bit32_cnt)==(5'b11111);\r
-   assign  _net_26 = disp_data&_net_24;\r
-   assign  _net_27 = (disp_data&_net_24)&_net_25;\r
-   assign  _net_28 = (disp_data&_net_24)&_net_25;\r
-   assign  _net_29 = (bit32_cnt)==(5'b11110);\r
-   assign  _net_30 = disp_data&_net_24;\r
-   assign  _net_31 = (disp_data&_net_24)&_net_29;\r
-   assign  _net_32 = (bit32_cnt)==(5'b11101);\r
-   assign  _net_33 = disp_data&_net_24;\r
-   assign  _net_34 = (disp_data&_net_24)&_net_32;\r
-   assign  _net_35 = (bit32_cnt)==(5'b11100);\r
-   assign  _net_36 = disp_data&_net_24;\r
-   assign  _net_37 = (disp_data&_net_24)&_net_35;\r
-   assign  _net_38 = (bit32_cnt)==(5'b11011);\r
-   assign  _net_39 = disp_data&_net_24;\r
-   assign  _net_40 = (disp_data&_net_24)&_net_38;\r
-   assign  _net_41 = (bit32_cnt)==(5'b11010);\r
-   assign  _net_42 = disp_data&_net_24;\r
-   assign  _net_43 = (disp_data&_net_24)&_net_41;\r
-   assign  _net_44 = (bit32_cnt)==(5'b11001);\r
-   assign  _net_45 = disp_data&_net_24;\r
-   assign  _net_46 = (disp_data&_net_24)&_net_44;\r
-   assign  _net_47 = (bit32_cnt)==(5'b11000);\r
-   assign  _net_48 = disp_data&_net_24;\r
-   assign  _net_49 = (disp_data&_net_24)&_net_47;\r
-   assign  _net_50 = (bit32_cnt)==(5'b10111);\r
-   assign  _net_51 = disp_data&_net_24;\r
-   assign  _net_52 = (disp_data&_net_24)&_net_50;\r
-   assign  _net_53 = (bit32_cnt)==(5'b10110);\r
-   assign  _net_54 = disp_data&_net_24;\r
-   assign  _net_55 = (disp_data&_net_24)&_net_53;\r
-   assign  _net_56 = (bit32_cnt)==(5'b10101);\r
-   assign  _net_57 = disp_data&_net_24;\r
-   assign  _net_58 = (disp_data&_net_24)&_net_56;\r
-   assign  _net_59 = (bit32_cnt)==(5'b10100);\r
-   assign  _net_60 = disp_data&_net_24;\r
-   assign  _net_61 = (disp_data&_net_24)&_net_59;\r
-   assign  _net_62 = (bit32_cnt)==(5'b10011);\r
-   assign  _net_63 = disp_data&_net_24;\r
-   assign  _net_64 = (disp_data&_net_24)&_net_62;\r
-   assign  _net_65 = (bit32_cnt)==(5'b10010);\r
-   assign  _net_66 = disp_data&_net_24;\r
-   assign  _net_67 = (disp_data&_net_24)&_net_65;\r
-   assign  _net_68 = (bit32_cnt)==(5'b10001);\r
-   assign  _net_69 = disp_data&_net_24;\r
-   assign  _net_70 = (disp_data&_net_24)&_net_68;\r
-   assign  _net_71 = (bit32_cnt)==(5'b10000);\r
-   assign  _net_72 = disp_data&_net_24;\r
-   assign  _net_73 = (disp_data&_net_24)&_net_71;\r
-   assign  _net_74 = (bit32_cnt)==(5'b01111);\r
-   assign  _net_75 = disp_data&_net_24;\r
-   assign  _net_76 = (disp_data&_net_24)&_net_74;\r
-   assign  _net_77 = (bit32_cnt)==(5'b01110);\r
-   assign  _net_78 = disp_data&_net_24;\r
-   assign  _net_79 = (disp_data&_net_24)&_net_77;\r
-   assign  _net_80 = (bit32_cnt)==(5'b01101);\r
-   assign  _net_81 = disp_data&_net_24;\r
-   assign  _net_82 = (disp_data&_net_24)&_net_80;\r
-   assign  _net_83 = (bit32_cnt)==(5'b01100);\r
-   assign  _net_84 = disp_data&_net_24;\r
-   assign  _net_85 = (disp_data&_net_24)&_net_83;\r
-   assign  _net_86 = (bit32_cnt)==(5'b01011);\r
-   assign  _net_87 = disp_data&_net_24;\r
-   assign  _net_88 = (disp_data&_net_24)&_net_86;\r
-   assign  _net_89 = (bit32_cnt)==(5'b01010);\r
-   assign  _net_90 = disp_data&_net_24;\r
-   assign  _net_91 = (disp_data&_net_24)&_net_89;\r
-   assign  _net_92 = (bit32_cnt)==(5'b01001);\r
-   assign  _net_93 = disp_data&_net_24;\r
-   assign  _net_94 = (disp_data&_net_24)&_net_92;\r
-   assign  _net_95 = (bit32_cnt)==(5'b01000);\r
-   assign  _net_96 = disp_data&_net_24;\r
-   assign  _net_97 = (disp_data&_net_24)&_net_95;\r
-   assign  _net_98 = (bit32_cnt)==(5'b00111);\r
-   assign  _net_99 = disp_data&_net_24;\r
-   assign  _net_100 = (disp_data&_net_24)&_net_98;\r
-   assign  _net_101 = (bit32_cnt)==(5'b00110);\r
-   assign  _net_102 = disp_data&_net_24;\r
-   assign  _net_103 = (disp_data&_net_24)&_net_101;\r
-   assign  _net_104 = (bit32_cnt)==(5'b00101);\r
-   assign  _net_105 = disp_data&_net_24;\r
-   assign  _net_106 = (disp_data&_net_24)&_net_104;\r
-   assign  _net_107 = (bit32_cnt)==(5'b00100);\r
-   assign  _net_108 = disp_data&_net_24;\r
-   assign  _net_109 = (disp_data&_net_24)&_net_107;\r
-   assign  _net_110 = (bit32_cnt)==(5'b00011);\r
-   assign  _net_111 = disp_data&_net_24;\r
-   assign  _net_112 = (disp_data&_net_24)&_net_110;\r
-   assign  _net_113 = (bit32_cnt)==(5'b00010);\r
-   assign  _net_114 = disp_data&_net_24;\r
-   assign  _net_115 = (disp_data&_net_24)&_net_113;\r
-   assign  _net_116 = (bit32_cnt)==(5'b00001);\r
-   assign  _net_117 = disp_data&_net_24;\r
-   assign  _net_118 = (disp_data&_net_24)&_net_116;\r
-   assign  _net_119 = (bit32_cnt)==(5'b00000);\r
-   assign  _net_120 = disp_data&_net_24;\r
-   assign  _net_121 = (disp_data&_net_24)&_net_119;\r
-   assign  _net_122 = (bit32_cnt)==(5'b11111);\r
-   assign  _net_123 = disp_data&(~_net_24);\r
-   assign  _net_124 = (disp_data&(~_net_24))&_net_122;\r
-   assign  _net_125 = (disp_data&(~_net_24))&_net_122;\r
-   assign  _net_126 = (bit32_cnt)==(5'b11110);\r
-   assign  _net_127 = disp_data&(~_net_24);\r
-   assign  _net_128 = (disp_data&(~_net_24))&_net_126;\r
-   assign  _net_129 = (bit32_cnt)==(5'b11101);\r
-   assign  _net_130 = disp_data&(~_net_24);\r
-   assign  _net_131 = (disp_data&(~_net_24))&_net_129;\r
-   assign  _net_132 = (bit32_cnt)==(5'b11100);\r
-   assign  _net_133 = disp_data&(~_net_24);\r
-   assign  _net_134 = (disp_data&(~_net_24))&_net_132;\r
-   assign  _net_135 = (bit32_cnt)==(5'b11011);\r
-   assign  _net_136 = disp_data&(~_net_24);\r
-   assign  _net_137 = (disp_data&(~_net_24))&_net_135;\r
-   assign  _net_138 = (bit32_cnt)==(5'b11010);\r
-   assign  _net_139 = disp_data&(~_net_24);\r
-   assign  _net_140 = (disp_data&(~_net_24))&_net_138;\r
-   assign  _net_141 = (bit32_cnt)==(5'b11001);\r
-   assign  _net_142 = disp_data&(~_net_24);\r
-   assign  _net_143 = (disp_data&(~_net_24))&_net_141;\r
-   assign  _net_144 = (bit32_cnt)==(5'b11000);\r
-   assign  _net_145 = disp_data&(~_net_24);\r
-   assign  _net_146 = (disp_data&(~_net_24))&_net_144;\r
-   assign  _net_147 = (bit32_cnt)==(5'b10111);\r
-   assign  _net_148 = disp_data&(~_net_24);\r
-   assign  _net_149 = (disp_data&(~_net_24))&_net_147;\r
-   assign  _net_150 = (bit32_cnt)==(5'b10110);\r
-   assign  _net_151 = disp_data&(~_net_24);\r
-   assign  _net_152 = (disp_data&(~_net_24))&_net_150;\r
-   assign  _net_153 = (bit32_cnt)==(5'b10101);\r
-   assign  _net_154 = disp_data&(~_net_24);\r
-   assign  _net_155 = (disp_data&(~_net_24))&_net_153;\r
-   assign  _net_156 = (bit32_cnt)==(5'b10100);\r
-   assign  _net_157 = disp_data&(~_net_24);\r
-   assign  _net_158 = (disp_data&(~_net_24))&_net_156;\r
-   assign  _net_159 = (bit32_cnt)==(5'b10011);\r
-   assign  _net_160 = disp_data&(~_net_24);\r
-   assign  _net_161 = (disp_data&(~_net_24))&_net_159;\r
-   assign  _net_162 = (bit32_cnt)==(5'b10010);\r
-   assign  _net_163 = disp_data&(~_net_24);\r
-   assign  _net_164 = (disp_data&(~_net_24))&_net_162;\r
-   assign  _net_165 = (bit32_cnt)==(5'b10001);\r
-   assign  _net_166 = disp_data&(~_net_24);\r
-   assign  _net_167 = (disp_data&(~_net_24))&_net_165;\r
-   assign  _net_168 = (bit32_cnt)==(5'b10000);\r
-   assign  _net_169 = disp_data&(~_net_24);\r
-   assign  _net_170 = (disp_data&(~_net_24))&_net_168;\r
-   assign  _net_171 = (bit32_cnt)==(5'b01111);\r
-   assign  _net_172 = disp_data&(~_net_24);\r
-   assign  _net_173 = (disp_data&(~_net_24))&_net_171;\r
-   assign  _net_174 = (bit32_cnt)==(5'b01110);\r
-   assign  _net_175 = disp_data&(~_net_24);\r
-   assign  _net_176 = (disp_data&(~_net_24))&_net_174;\r
-   assign  _net_177 = (bit32_cnt)==(5'b01101);\r
-   assign  _net_178 = disp_data&(~_net_24);\r
-   assign  _net_179 = (disp_data&(~_net_24))&_net_177;\r
-   assign  _net_180 = (bit32_cnt)==(5'b01100);\r
-   assign  _net_181 = disp_data&(~_net_24);\r
-   assign  _net_182 = (disp_data&(~_net_24))&_net_180;\r
-   assign  _net_183 = (bit32_cnt)==(5'b01011);\r
-   assign  _net_184 = disp_data&(~_net_24);\r
-   assign  _net_185 = (disp_data&(~_net_24))&_net_183;\r
-   assign  _net_186 = (bit32_cnt)==(5'b01010);\r
-   assign  _net_187 = disp_data&(~_net_24);\r
-   assign  _net_188 = (disp_data&(~_net_24))&_net_186;\r
-   assign  _net_189 = (bit32_cnt)==(5'b01001);\r
-   assign  _net_190 = disp_data&(~_net_24);\r
-   assign  _net_191 = (disp_data&(~_net_24))&_net_189;\r
-   assign  _net_192 = (bit32_cnt)==(5'b01000);\r
-   assign  _net_193 = disp_data&(~_net_24);\r
-   assign  _net_194 = (disp_data&(~_net_24))&_net_192;\r
-   assign  _net_195 = (bit32_cnt)==(5'b00111);\r
-   assign  _net_196 = disp_data&(~_net_24);\r
-   assign  _net_197 = (disp_data&(~_net_24))&_net_195;\r
-   assign  _net_198 = (bit32_cnt)==(5'b00110);\r
-   assign  _net_199 = disp_data&(~_net_24);\r
-   assign  _net_200 = (disp_data&(~_net_24))&_net_198;\r
-   assign  _net_201 = (bit32_cnt)==(5'b00101);\r
-   assign  _net_202 = disp_data&(~_net_24);\r
-   assign  _net_203 = (disp_data&(~_net_24))&_net_201;\r
-   assign  _net_204 = (bit32_cnt)==(5'b00100);\r
-   assign  _net_205 = disp_data&(~_net_24);\r
-   assign  _net_206 = (disp_data&(~_net_24))&_net_204;\r
-   assign  _net_207 = (bit32_cnt)==(5'b00011);\r
-   assign  _net_208 = disp_data&(~_net_24);\r
-   assign  _net_209 = (disp_data&(~_net_24))&_net_207;\r
-   assign  _net_210 = (bit32_cnt)==(5'b00010);\r
-   assign  _net_211 = disp_data&(~_net_24);\r
-   assign  _net_212 = (disp_data&(~_net_24))&_net_210;\r
-   assign  _net_213 = (bit32_cnt)==(5'b00001);\r
-   assign  _net_214 = disp_data&(~_net_24);\r
-   assign  _net_215 = (disp_data&(~_net_24))&_net_213;\r
-   assign  _net_216 = (bit32_cnt)==(5'b00000);\r
-   assign  _net_217 = disp_data&(~_net_24);\r
-   assign  _net_218 = (disp_data&(~_net_24))&_net_216;\r
-   assign  v_sync_o = v_sync;\r
-   assign  h_sync_o = h_sync;\r
-   assign  vga_red_o = red;\r
-   assign  vga_green_o = green;\r
-   assign  vga_blue_o = blue;\r
-   assign  h_cnt_o = h_cnt;\r
-   assign  req_32dot = _net_13;\r
+   assign  _net_22 = fi_ack_req_32dot&r_data_select_flag;\r
+   assign  _net_23 = fi_ack_req_32dot&(~r_data_select_flag);\r
+   assign  _net_24 = ~r_flg;\r
+   assign  _net_25 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_26 = fs_disp_data&_net_24;\r
+   assign  _net_27 = (fs_disp_data&_net_24)&_net_25;\r
+   assign  _net_28 = (fs_disp_data&_net_24)&_net_25;\r
+   assign  _net_29 = (r_bit32_cnt)==(5'b11110);\r
+   assign  _net_30 = fs_disp_data&_net_24;\r
+   assign  _net_31 = (fs_disp_data&_net_24)&_net_29;\r
+   assign  _net_32 = (r_bit32_cnt)==(5'b11101);\r
+   assign  _net_33 = fs_disp_data&_net_24;\r
+   assign  _net_34 = (fs_disp_data&_net_24)&_net_32;\r
+   assign  _net_35 = (r_bit32_cnt)==(5'b11100);\r
+   assign  _net_36 = fs_disp_data&_net_24;\r
+   assign  _net_37 = (fs_disp_data&_net_24)&_net_35;\r
+   assign  _net_38 = (r_bit32_cnt)==(5'b11011);\r
+   assign  _net_39 = fs_disp_data&_net_24;\r
+   assign  _net_40 = (fs_disp_data&_net_24)&_net_38;\r
+   assign  _net_41 = (r_bit32_cnt)==(5'b11010);\r
+   assign  _net_42 = fs_disp_data&_net_24;\r
+   assign  _net_43 = (fs_disp_data&_net_24)&_net_41;\r
+   assign  _net_44 = (r_bit32_cnt)==(5'b11001);\r
+   assign  _net_45 = fs_disp_data&_net_24;\r
+   assign  _net_46 = (fs_disp_data&_net_24)&_net_44;\r
+   assign  _net_47 = (r_bit32_cnt)==(5'b11000);\r
+   assign  _net_48 = fs_disp_data&_net_24;\r
+   assign  _net_49 = (fs_disp_data&_net_24)&_net_47;\r
+   assign  _net_50 = (r_bit32_cnt)==(5'b10111);\r
+   assign  _net_51 = fs_disp_data&_net_24;\r
+   assign  _net_52 = (fs_disp_data&_net_24)&_net_50;\r
+   assign  _net_53 = (r_bit32_cnt)==(5'b10110);\r
+   assign  _net_54 = fs_disp_data&_net_24;\r
+   assign  _net_55 = (fs_disp_data&_net_24)&_net_53;\r
+   assign  _net_56 = (r_bit32_cnt)==(5'b10101);\r
+   assign  _net_57 = fs_disp_data&_net_24;\r
+   assign  _net_58 = (fs_disp_data&_net_24)&_net_56;\r
+   assign  _net_59 = (r_bit32_cnt)==(5'b10100);\r
+   assign  _net_60 = fs_disp_data&_net_24;\r
+   assign  _net_61 = (fs_disp_data&_net_24)&_net_59;\r
+   assign  _net_62 = (r_bit32_cnt)==(5'b10011);\r
+   assign  _net_63 = fs_disp_data&_net_24;\r
+   assign  _net_64 = (fs_disp_data&_net_24)&_net_62;\r
+   assign  _net_65 = (r_bit32_cnt)==(5'b10010);\r
+   assign  _net_66 = fs_disp_data&_net_24;\r
+   assign  _net_67 = (fs_disp_data&_net_24)&_net_65;\r
+   assign  _net_68 = (r_bit32_cnt)==(5'b10001);\r
+   assign  _net_69 = fs_disp_data&_net_24;\r
+   assign  _net_70 = (fs_disp_data&_net_24)&_net_68;\r
+   assign  _net_71 = (r_bit32_cnt)==(5'b10000);\r
+   assign  _net_72 = fs_disp_data&_net_24;\r
+   assign  _net_73 = (fs_disp_data&_net_24)&_net_71;\r
+   assign  _net_74 = (r_bit32_cnt)==(5'b01111);\r
+   assign  _net_75 = fs_disp_data&_net_24;\r
+   assign  _net_76 = (fs_disp_data&_net_24)&_net_74;\r
+   assign  _net_77 = (r_bit32_cnt)==(5'b01110);\r
+   assign  _net_78 = fs_disp_data&_net_24;\r
+   assign  _net_79 = (fs_disp_data&_net_24)&_net_77;\r
+   assign  _net_80 = (r_bit32_cnt)==(5'b01101);\r
+   assign  _net_81 = fs_disp_data&_net_24;\r
+   assign  _net_82 = (fs_disp_data&_net_24)&_net_80;\r
+   assign  _net_83 = (r_bit32_cnt)==(5'b01100);\r
+   assign  _net_84 = fs_disp_data&_net_24;\r
+   assign  _net_85 = (fs_disp_data&_net_24)&_net_83;\r
+   assign  _net_86 = (r_bit32_cnt)==(5'b01011);\r
+   assign  _net_87 = fs_disp_data&_net_24;\r
+   assign  _net_88 = (fs_disp_data&_net_24)&_net_86;\r
+   assign  _net_89 = (r_bit32_cnt)==(5'b01010);\r
+   assign  _net_90 = fs_disp_data&_net_24;\r
+   assign  _net_91 = (fs_disp_data&_net_24)&_net_89;\r
+   assign  _net_92 = (r_bit32_cnt)==(5'b01001);\r
+   assign  _net_93 = fs_disp_data&_net_24;\r
+   assign  _net_94 = (fs_disp_data&_net_24)&_net_92;\r
+   assign  _net_95 = (r_bit32_cnt)==(5'b01000);\r
+   assign  _net_96 = fs_disp_data&_net_24;\r
+   assign  _net_97 = (fs_disp_data&_net_24)&_net_95;\r
+   assign  _net_98 = (r_bit32_cnt)==(5'b00111);\r
+   assign  _net_99 = fs_disp_data&_net_24;\r
+   assign  _net_100 = (fs_disp_data&_net_24)&_net_98;\r
+   assign  _net_101 = (r_bit32_cnt)==(5'b00110);\r
+   assign  _net_102 = fs_disp_data&_net_24;\r
+   assign  _net_103 = (fs_disp_data&_net_24)&_net_101;\r
+   assign  _net_104 = (r_bit32_cnt)==(5'b00101);\r
+   assign  _net_105 = fs_disp_data&_net_24;\r
+   assign  _net_106 = (fs_disp_data&_net_24)&_net_104;\r
+   assign  _net_107 = (r_bit32_cnt)==(5'b00100);\r
+   assign  _net_108 = fs_disp_data&_net_24;\r
+   assign  _net_109 = (fs_disp_data&_net_24)&_net_107;\r
+   assign  _net_110 = (r_bit32_cnt)==(5'b00011);\r
+   assign  _net_111 = fs_disp_data&_net_24;\r
+   assign  _net_112 = (fs_disp_data&_net_24)&_net_110;\r
+   assign  _net_113 = (r_bit32_cnt)==(5'b00010);\r
+   assign  _net_114 = fs_disp_data&_net_24;\r
+   assign  _net_115 = (fs_disp_data&_net_24)&_net_113;\r
+   assign  _net_116 = (r_bit32_cnt)==(5'b00001);\r
+   assign  _net_117 = fs_disp_data&_net_24;\r
+   assign  _net_118 = (fs_disp_data&_net_24)&_net_116;\r
+   assign  _net_119 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_120 = fs_disp_data&_net_24;\r
+   assign  _net_121 = (fs_disp_data&_net_24)&_net_119;\r
+   assign  _net_122 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_123 = fs_disp_data&(~_net_24);\r
+   assign  _net_124 = (fs_disp_data&(~_net_24))&_net_122;\r
+   assign  _net_125 = (fs_disp_data&(~_net_24))&_net_122;\r
+   assign  _net_126 = (r_bit32_cnt)==(5'b11110);\r
+   assign  _net_127 = fs_disp_data&(~_net_24);\r
+   assign  _net_128 = (fs_disp_data&(~_net_24))&_net_126;\r
+   assign  _net_129 = (r_bit32_cnt)==(5'b11101);\r
+   assign  _net_130 = fs_disp_data&(~_net_24);\r
+   assign  _net_131 = (fs_disp_data&(~_net_24))&_net_129;\r
+   assign  _net_132 = (r_bit32_cnt)==(5'b11100);\r
+   assign  _net_133 = fs_disp_data&(~_net_24);\r
+   assign  _net_134 = (fs_disp_data&(~_net_24))&_net_132;\r
+   assign  _net_135 = (r_bit32_cnt)==(5'b11011);\r
+   assign  _net_136 = fs_disp_data&(~_net_24);\r
+   assign  _net_137 = (fs_disp_data&(~_net_24))&_net_135;\r
+   assign  _net_138 = (r_bit32_cnt)==(5'b11010);\r
+   assign  _net_139 = fs_disp_data&(~_net_24);\r
+   assign  _net_140 = (fs_disp_data&(~_net_24))&_net_138;\r
+   assign  _net_141 = (r_bit32_cnt)==(5'b11001);\r
+   assign  _net_142 = fs_disp_data&(~_net_24);\r
+   assign  _net_143 = (fs_disp_data&(~_net_24))&_net_141;\r
+   assign  _net_144 = (r_bit32_cnt)==(5'b11000);\r
+   assign  _net_145 = fs_disp_data&(~_net_24);\r
+   assign  _net_146 = (fs_disp_data&(~_net_24))&_net_144;\r
+   assign  _net_147 = (r_bit32_cnt)==(5'b10111);\r
+   assign  _net_148 = fs_disp_data&(~_net_24);\r
+   assign  _net_149 = (fs_disp_data&(~_net_24))&_net_147;\r
+   assign  _net_150 = (r_bit32_cnt)==(5'b10110);\r
+   assign  _net_151 = fs_disp_data&(~_net_24);\r
+   assign  _net_152 = (fs_disp_data&(~_net_24))&_net_150;\r
+   assign  _net_153 = (r_bit32_cnt)==(5'b10101);\r
+   assign  _net_154 = fs_disp_data&(~_net_24);\r
+   assign  _net_155 = (fs_disp_data&(~_net_24))&_net_153;\r
+   assign  _net_156 = (r_bit32_cnt)==(5'b10100);\r
+   assign  _net_157 = fs_disp_data&(~_net_24);\r
+   assign  _net_158 = (fs_disp_data&(~_net_24))&_net_156;\r
+   assign  _net_159 = (r_bit32_cnt)==(5'b10011);\r
+   assign  _net_160 = fs_disp_data&(~_net_24);\r
+   assign  _net_161 = (fs_disp_data&(~_net_24))&_net_159;\r
+   assign  _net_162 = (r_bit32_cnt)==(5'b10010);\r
+   assign  _net_163 = fs_disp_data&(~_net_24);\r
+   assign  _net_164 = (fs_disp_data&(~_net_24))&_net_162;\r
+   assign  _net_165 = (r_bit32_cnt)==(5'b10001);\r
+   assign  _net_166 = fs_disp_data&(~_net_24);\r
+   assign  _net_167 = (fs_disp_data&(~_net_24))&_net_165;\r
+   assign  _net_168 = (r_bit32_cnt)==(5'b10000);\r
+   assign  _net_169 = fs_disp_data&(~_net_24);\r
+   assign  _net_170 = (fs_disp_data&(~_net_24))&_net_168;\r
+   assign  _net_171 = (r_bit32_cnt)==(5'b01111);\r
+   assign  _net_172 = fs_disp_data&(~_net_24);\r
+   assign  _net_173 = (fs_disp_data&(~_net_24))&_net_171;\r
+   assign  _net_174 = (r_bit32_cnt)==(5'b01110);\r
+   assign  _net_175 = fs_disp_data&(~_net_24);\r
+   assign  _net_176 = (fs_disp_data&(~_net_24))&_net_174;\r
+   assign  _net_177 = (r_bit32_cnt)==(5'b01101);\r
+   assign  _net_178 = fs_disp_data&(~_net_24);\r
+   assign  _net_179 = (fs_disp_data&(~_net_24))&_net_177;\r
+   assign  _net_180 = (r_bit32_cnt)==(5'b01100);\r
+   assign  _net_181 = fs_disp_data&(~_net_24);\r
+   assign  _net_182 = (fs_disp_data&(~_net_24))&_net_180;\r
+   assign  _net_183 = (r_bit32_cnt)==(5'b01011);\r
+   assign  _net_184 = fs_disp_data&(~_net_24);\r
+   assign  _net_185 = (fs_disp_data&(~_net_24))&_net_183;\r
+   assign  _net_186 = (r_bit32_cnt)==(5'b01010);\r
+   assign  _net_187 = fs_disp_data&(~_net_24);\r
+   assign  _net_188 = (fs_disp_data&(~_net_24))&_net_186;\r
+   assign  _net_189 = (r_bit32_cnt)==(5'b01001);\r
+   assign  _net_190 = fs_disp_data&(~_net_24);\r
+   assign  _net_191 = (fs_disp_data&(~_net_24))&_net_189;\r
+   assign  _net_192 = (r_bit32_cnt)==(5'b01000);\r
+   assign  _net_193 = fs_disp_data&(~_net_24);\r
+   assign  _net_194 = (fs_disp_data&(~_net_24))&_net_192;\r
+   assign  _net_195 = (r_bit32_cnt)==(5'b00111);\r
+   assign  _net_196 = fs_disp_data&(~_net_24);\r
+   assign  _net_197 = (fs_disp_data&(~_net_24))&_net_195;\r
+   assign  _net_198 = (r_bit32_cnt)==(5'b00110);\r
+   assign  _net_199 = fs_disp_data&(~_net_24);\r
+   assign  _net_200 = (fs_disp_data&(~_net_24))&_net_198;\r
+   assign  _net_201 = (r_bit32_cnt)==(5'b00101);\r
+   assign  _net_202 = fs_disp_data&(~_net_24);\r
+   assign  _net_203 = (fs_disp_data&(~_net_24))&_net_201;\r
+   assign  _net_204 = (r_bit32_cnt)==(5'b00100);\r
+   assign  _net_205 = fs_disp_data&(~_net_24);\r
+   assign  _net_206 = (fs_disp_data&(~_net_24))&_net_204;\r
+   assign  _net_207 = (r_bit32_cnt)==(5'b00011);\r
+   assign  _net_208 = fs_disp_data&(~_net_24);\r
+   assign  _net_209 = (fs_disp_data&(~_net_24))&_net_207;\r
+   assign  _net_210 = (r_bit32_cnt)==(5'b00010);\r
+   assign  _net_211 = fs_disp_data&(~_net_24);\r
+   assign  _net_212 = (fs_disp_data&(~_net_24))&_net_210;\r
+   assign  _net_213 = (r_bit32_cnt)==(5'b00001);\r
+   assign  _net_214 = fs_disp_data&(~_net_24);\r
+   assign  _net_215 = (fs_disp_data&(~_net_24))&_net_213;\r
+   assign  _net_216 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_217 = fs_disp_data&(~_net_24);\r
+   assign  _net_218 = (fs_disp_data&(~_net_24))&_net_216;\r
+   assign  o_v_sync = r_v_sync;\r
+   assign  o_h_sync = r_h_sync;\r
+   assign  o_vga_red = w_red;\r
+   assign  o_vga_green = w_green;\r
+   assign  o_vga_blue = w_blue;\r
+   assign  o_h_cnt = r_h_cnt;\r
+   assign  fo_req_32dot = _net_13;\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     v_sync <= 1'b0;\r
+     r_v_sync <= 1'b0;\r
 else if ((_net_8|_net_5)) \r
-      v_sync <= ~v_sync;\r
+      r_v_sync <= ~r_v_sync;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     h_sync <= 1'b0;\r
+     r_h_sync <= 1'b0;\r
 else if ((_net_3|_net_0)) \r
-      h_sync <= ~h_sync;\r
+      r_h_sync <= ~r_h_sync;\r
 end\r
 always @(posedge p_reset)\r
  begin\r
 if (p_reset)\r
-     h_flg <= 1'b0;\r
+     r_h_flg <= 1'b0;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     vdata_flg <= 1'b0;\r
+     r_vdata_flg <= 1'b0;\r
 else if ((_net_7)|(_net_6)) \r
-      vdata_flg <= ((_net_7) ?1'b1:1'b0)|\r
+      r_vdata_flg <= ((_net_7) ?1'b1:1'b0)|\r
     ((_net_6) ?1'b0:1'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     hdata_flg <= 1'b0;\r
+     r_hdata_flg <= 1'b0;\r
 else if ((_net_2)|(_net_1)) \r
-      hdata_flg <= ((_net_2) ?1'b1:1'b0)|\r
+      r_hdata_flg <= ((_net_2) ?1'b1:1'b0)|\r
     ((_net_1) ?1'b0:1'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     h_cnt <= 10'b0000000000;\r
+     r_h_cnt <= 10'b0000000000;\r
 else if ((_net_4|_net_3|_net_2|_net_1)|(_net_0)) \r
-      h_cnt <= ((_net_4|_net_3|_net_2|_net_1) ?(h_cnt)+(10'b0000000001):10'b0)|\r
+      r_h_cnt <= ((_net_4|_net_3|_net_2|_net_1) ?(r_h_cnt)+(10'b0000000001):10'b0)|\r
     ((_net_0) ?10'b0000000000:10'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     v_cnt <= 19'b0000000000000000000;\r
+     r_v_cnt <= 19'b0000000000000000000;\r
 else if ((_net_9|_net_8|_net_7|_net_6)|(_net_5)) \r
-      v_cnt <= ((_net_9|_net_8|_net_7|_net_6) ?(v_cnt)+(19'b0000000000000000001):19'b0)|\r
+      r_v_cnt <= ((_net_9|_net_8|_net_7|_net_6) ?(r_v_cnt)+(19'b0000000000000000001):19'b0)|\r
     ((_net_5) ?19'b0000000000000000000:19'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     bit32_cnt <= 5'b00000;\r
-else if ((disp_data)|(_net_16)|(_net_17|_net_15)) \r
-      bit32_cnt <= ((disp_data) ?(bit32_cnt)+(5'b00001):5'b0)|\r
-    ((_net_16) ?(bit32_cnt)+(5'b00001):5'b0)|\r
+     r_bit32_cnt <= 5'b00000;\r
+else if ((fs_disp_data)|(_net_16)|(_net_17|_net_15)) \r
+      r_bit32_cnt <= ((fs_disp_data) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
+    ((_net_16) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
     ((_net_17|_net_15) ?5'b00000:5'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     reg_flg <= 1'b0;\r
+     r_flg <= 1'b0;\r
 else if ((_net_125|_net_28)) \r
-      reg_flg <= ~reg_flg;\r
+      r_flg <= ~r_flg;\r
 end\r
 always @(posedge p_reset)\r
  begin\r
 if (p_reset)\r
-     reg_cnt <= 1'b0;\r
+     r_cnt <= 1'b0;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r1 <= 32'b00000000000000000000000000000000;\r
 else if ((_net_22)) \r
-      r1 <= pix32_data_i;\r
+      r1 <= i_pix32_data;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r2 <= 32'b00000000000000000000000000000000;\r
 else if ((_net_23)) \r
-      r2 <= pix32_data_i;\r
+      r2 <= i_pix32_data;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     data_select_flag <= 1'b0;\r
-else if ((ack_req_32dot)) \r
-      data_select_flag <= ~data_select_flag;\r
+     r_data_select_flag <= 1'b0;\r
+else if ((fi_ack_req_32dot)) \r
+      r_data_select_flag <= ~r_data_select_flag;\r
 end\r
 endmodule\r
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jun 30 20:35:36 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Fri Jul 08 20:38:20 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp \r
 */\r
index 15ddce6..0addb68 100644 (file)
@@ -1,38 +1,38 @@
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jul 07 21:06:31 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Fri Jul 08 20:39:37 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp :NON PROFIT USER:\r
 */\r
 \r
-module vga_generate ( p_reset , m_clock , pix32_data_i , v_sync_o , h_sync_o , vga_red_o , vga_green_o , vga_blue_o , h_cnt_o , ack_req_32dot , req_32dot );\r
+module vga_generate ( p_reset , m_clock , i_pix32_data , o_v_sync , o_h_sync , o_vga_red , o_vga_green , o_vga_blue , o_h_cnt , fi_ack_req_32dot , fo_req_32dot );\r
   input p_reset;\r
   input m_clock;\r
-  input [31:0] pix32_data_i;\r
-  output v_sync_o;\r
-  output h_sync_o;\r
-  output [3:0] vga_red_o;\r
-  output [3:0] vga_green_o;\r
-  output [3:0] vga_blue_o;\r
-  output [9:0] h_cnt_o;\r
-  input ack_req_32dot;\r
-  output req_32dot;\r
-  wire disp_data;\r
-  reg v_sync;\r
-  reg h_sync;\r
-  reg h_flg;\r
-  reg vdata_flg;\r
-  reg hdata_flg;\r
-  reg [9:0] h_cnt;\r
-  reg [18:0] v_cnt;\r
-  reg [4:0] bit32_cnt;\r
-  reg reg_flg;\r
-  reg reg_cnt;\r
+  input [31:0] i_pix32_data;\r
+  output o_v_sync;\r
+  output o_h_sync;\r
+  output [3:0] o_vga_red;\r
+  output [3:0] o_vga_green;\r
+  output [3:0] o_vga_blue;\r
+  output [9:0] o_h_cnt;\r
+  input fi_ack_req_32dot;\r
+  output fo_req_32dot;\r
+  wire fs_disp_data;\r
+  reg r_v_sync;\r
+  reg r_h_sync;\r
+  reg r_h_flg;\r
+  reg r_vdata_flg;\r
+  reg r_hdata_flg;\r
+  reg [9:0] r_h_cnt;\r
+  reg [18:0] r_v_cnt;\r
+  reg [4:0] r_bit32_cnt;\r
+  reg r_flg;\r
+  reg r_cnt;\r
   reg [31:0] r1;\r
   reg [31:0] r2;\r
-  reg data_select_flag;\r
-  wire [3:0] red;\r
-  wire [3:0] green;\r
-  wire [3:0] blue;\r
-  wire sel_disp_data;\r
+  reg r_data_select_flag;\r
+  wire [3:0] w_red;\r
+  wire [3:0] w_green;\r
+  wire [3:0] w_blue;\r
+  wire w_disp_data;\r
   wire _net_0;\r
   wire _net_1;\r
   wire _net_2;\r
@@ -253,12 +253,12 @@ module vga_generate ( p_reset , m_clock , pix32_data_i , v_sync_o , h_sync_o , v
   wire _net_217;\r
   wire _net_218;\r
 \r
-   assign  disp_data = _net_10;\r
-   assign  red = 4'b0000;\r
-   assign  green = 4'b0000;\r
-   assign  blue = ((_net_20)?4'b0000:4'b0)|\r
+   assign  fs_disp_data = _net_10;\r
+   assign  w_red = 4'b0000;\r
+   assign  w_green = 4'b0000;\r
+   assign  w_blue = ((_net_20)?4'b0000:4'b0)|\r
     ((_net_18)?4'b1111:4'b0);\r
-   assign  sel_disp_data = ((_net_218)?r2[31]:1'b0)|\r
+   assign  w_disp_data = ((_net_218)?r2[31]:1'b0)|\r
     ((_net_215)?r2[30]:1'b0)|\r
     ((_net_212)?r2[29]:1'b0)|\r
     ((_net_209)?r2[28]:1'b0)|\r
@@ -322,554 +322,332 @@ module vga_generate ( p_reset , m_clock , pix32_data_i , v_sync_o , h_sync_o , v
     ((_net_34)?r1[2]:1'b0)|\r
     ((_net_31)?r1[1]:1'b0)|\r
     ((_net_27)?r1[0]:1'b0);\r
-   assign  _net_0 = (h_cnt)==(10'b1100100000);\r
-   assign  _net_1 = (h_cnt)==(10'b1100001110);\r
-   assign  _net_2 = (h_cnt)==(10'b0010001110);\r
-   assign  _net_3 = (h_cnt)==(10'b0001100000);\r
+   assign  _net_0 = (r_h_cnt)==(10'b1100100000);\r
+   assign  _net_1 = (r_h_cnt)==(10'b1100001110);\r
+   assign  _net_2 = (r_h_cnt)==(10'b0010001110);\r
+   assign  _net_3 = (r_h_cnt)==(10'b0001100000);\r
    assign  _net_4 = (((~_net_0)&(~_net_1))&(~_net_2))&(~_net_3);\r
-   assign  _net_5 = (v_cnt)==(19'b1100101110000011111);\r
-   assign  _net_6 = (v_cnt)==(19'b1100011110011011111);\r
-   assign  _net_7 = (v_cnt)==(19'b0000110000011011111);\r
-   assign  _net_8 = (v_cnt)==(19'b0000000011000111111);\r
+   assign  _net_5 = (r_v_cnt)==(19'b1100101110000011111);\r
+   assign  _net_6 = (r_v_cnt)==(19'b1100011110011011111);\r
+   assign  _net_7 = (r_v_cnt)==(19'b0000110000011011111);\r
+   assign  _net_8 = (r_v_cnt)==(19'b0000000011000111111);\r
    assign  _net_9 = (((~_net_5)&(~_net_6))&(~_net_7))&(~_net_8);\r
-   assign  _net_10 = hdata_flg&vdata_flg;\r
-   assign  _net_11 = (((h_cnt) >= ((10'b0010001110)+(10'b1001100001)))&((h_cnt) <= (((10'b1100001110)+(10'b1001100001))+(10'b1111111111))))&((v_cnt) >= ((19'b0000110000011011111)+(19'b1111111111111100001)))&((v_cnt) <= (((19'b1100011110011011111)+(19'b1111111111111100001))+(19'b1111111111111111111)));\r
-   assign  _net_12 = (bit32_cnt)==(5'b00000);\r
+   assign  _net_10 = r_hdata_flg&r_vdata_flg;\r
+   assign  _net_11 = (((r_h_cnt) >= ((10'b0010001110)+(10'b1001100001)))&((r_h_cnt) <= (((10'b1100001110)+(10'b1001100001))+(10'b1111111111))))&((r_v_cnt) >= ((19'b0000110000011011111)+(19'b1111111111111100001)))&((r_v_cnt) <= (((19'b1100011110011011111)+(19'b1111111111111100001))+(19'b1111111111111111111)));\r
+   assign  _net_12 = (r_bit32_cnt)==(5'b00000);\r
    assign  _net_13 = _net_11&_net_12;\r
-   assign  _net_14 = (bit32_cnt)==(5'b11111);\r
+   assign  _net_14 = (r_bit32_cnt)==(5'b11111);\r
    assign  _net_15 = _net_11&_net_14;\r
    assign  _net_16 = _net_11&(~_net_14);\r
    assign  _net_17 = ~_net_11;\r
-   assign  _net_18 = hdata_flg&vdata_flg;\r
+   assign  _net_18 = r_hdata_flg&r_vdata_flg;\r
    assign  _net_19 = ~_net_18;\r
    assign  _net_20 = ~_net_18;\r
    assign  _net_21 = ~_net_18;\r
-   assign  _net_22 = ack_req_32dot&data_select_flag;\r
-   assign  _net_23 = ack_req_32dot&(~data_select_flag);\r
-   assign  _net_24 = ~reg_flg;\r
-   assign  _net_25 = (bit32_cnt)==(5'b11111);\r
-   assign  _net_26 = disp_data&_net_24;\r
-   assign  _net_27 = (disp_data&_net_24)&_net_25;\r
-   assign  _net_28 = (disp_data&_net_24)&_net_25;\r
-   assign  _net_29 = (bit32_cnt)==(5'b11110);\r
-   assign  _net_30 = disp_data&_net_24;\r
-   assign  _net_31 = (disp_data&_net_24)&_net_29;\r
-   assign  _net_32 = (bit32_cnt)==(5'b11101);\r
-   assign  _net_33 = disp_data&_net_24;\r
-   assign  _net_34 = (disp_data&_net_24)&_net_32;\r
-   assign  _net_35 = (bit32_cnt)==(5'b11100);\r
-   assign  _net_36 = disp_data&_net_24;\r
-   assign  _net_37 = (disp_data&_net_24)&_net_35;\r
-   assign  _net_38 = (bit32_cnt)==(5'b11011);\r
-   assign  _net_39 = disp_data&_net_24;\r
-   assign  _net_40 = (disp_data&_net_24)&_net_38;\r
-   assign  _net_41 = (bit32_cnt)==(5'b11010);\r
-   assign  _net_42 = disp_data&_net_24;\r
-   assign  _net_43 = (disp_data&_net_24)&_net_41;\r
-   assign  _net_44 = (bit32_cnt)==(5'b11001);\r
-   assign  _net_45 = disp_data&_net_24;\r
-   assign  _net_46 = (disp_data&_net_24)&_net_44;\r
-   assign  _net_47 = (bit32_cnt)==(5'b11000);\r
-   assign  _net_48 = disp_data&_net_24;\r
-   assign  _net_49 = (disp_data&_net_24)&_net_47;\r
-   assign  _net_50 = (bit32_cnt)==(5'b10111);\r
-   assign  _net_51 = disp_data&_net_24;\r
-   assign  _net_52 = (disp_data&_net_24)&_net_50;\r
-   assign  _net_53 = (bit32_cnt)==(5'b10110);\r
-   assign  _net_54 = disp_data&_net_24;\r
-   assign  _net_55 = (disp_data&_net_24)&_net_53;\r
-   assign  _net_56 = (bit32_cnt)==(5'b10101);\r
-   assign  _net_57 = disp_data&_net_24;\r
-   assign  _net_58 = (disp_data&_net_24)&_net_56;\r
-   assign  _net_59 = (bit32_cnt)==(5'b10100);\r
-   assign  _net_60 = disp_data&_net_24;\r
-   assign  _net_61 = (disp_data&_net_24)&_net_59;\r
-   assign  _net_62 = (bit32_cnt)==(5'b10011);\r
-   assign  _net_63 = disp_data&_net_24;\r
-   assign  _net_64 = (disp_data&_net_24)&_net_62;\r
-   assign  _net_65 = (bit32_cnt)==(5'b10010);\r
-   assign  _net_66 = disp_data&_net_24;\r
-   assign  _net_67 = (disp_data&_net_24)&_net_65;\r
-   assign  _net_68 = (bit32_cnt)==(5'b10001);\r
-   assign  _net_69 = disp_data&_net_24;\r
-   assign  _net_70 = (disp_data&_net_24)&_net_68;\r
-   assign  _net_71 = (bit32_cnt)==(5'b10000);\r
-   assign  _net_72 = disp_data&_net_24;\r
-   assign  _net_73 = (disp_data&_net_24)&_net_71;\r
-   assign  _net_74 = (bit32_cnt)==(5'b01111);\r
-   assign  _net_75 = disp_data&_net_24;\r
-   assign  _net_76 = (disp_data&_net_24)&_net_74;\r
-   assign  _net_77 = (bit32_cnt)==(5'b01110);\r
-   assign  _net_78 = disp_data&_net_24;\r
-   assign  _net_79 = (disp_data&_net_24)&_net_77;\r
-   assign  _net_80 = (bit32_cnt)==(5'b01101);\r
-   assign  _net_81 = disp_data&_net_24;\r
-   assign  _net_82 = (disp_data&_net_24)&_net_80;\r
-   assign  _net_83 = (bit32_cnt)==(5'b01100);\r
-   assign  _net_84 = disp_data&_net_24;\r
-   assign  _net_85 = (disp_data&_net_24)&_net_83;\r
-   assign  _net_86 = (bit32_cnt)==(5'b01011);\r
-   assign  _net_87 = disp_data&_net_24;\r
-   assign  _net_88 = (disp_data&_net_24)&_net_86;\r
-   assign  _net_89 = (bit32_cnt)==(5'b01010);\r
-   assign  _net_90 = disp_data&_net_24;\r
-   assign  _net_91 = (disp_data&_net_24)&_net_89;\r
-   assign  _net_92 = (bit32_cnt)==(5'b01001);\r
-   assign  _net_93 = disp_data&_net_24;\r
-   assign  _net_94 = (disp_data&_net_24)&_net_92;\r
-   assign  _net_95 = (bit32_cnt)==(5'b01000);\r
-   assign  _net_96 = disp_data&_net_24;\r
-   assign  _net_97 = (disp_data&_net_24)&_net_95;\r
-   assign  _net_98 = (bit32_cnt)==(5'b00111);\r
-   assign  _net_99 = disp_data&_net_24;\r
-   assign  _net_100 = (disp_data&_net_24)&_net_98;\r
-   assign  _net_101 = (bit32_cnt)==(5'b00110);\r
-   assign  _net_102 = disp_data&_net_24;\r
-   assign  _net_103 = (disp_data&_net_24)&_net_101;\r
-   assign  _net_104 = (bit32_cnt)==(5'b00101);\r
-   assign  _net_105 = disp_data&_net_24;\r
-   assign  _net_106 = (disp_data&_net_24)&_net_104;\r
-   assign  _net_107 = (bit32_cnt)==(5'b00100);\r
-   assign  _net_108 = disp_data&_net_24;\r
-   assign  _net_109 = (disp_data&_net_24)&_net_107;\r
-   assign  _net_110 = (bit32_cnt)==(5'b00011);\r
-   assign  _net_111 = disp_data&_net_24;\r
-   assign  _net_112 = (disp_data&_net_24)&_net_110;\r
-   assign  _net_113 = (bit32_cnt)==(5'b00010);\r
-   assign  _net_114 = disp_data&_net_24;\r
-   assign  _net_115 = (disp_data&_net_24)&_net_113;\r
-   assign  _net_116 = (bit32_cnt)==(5'b00001);\r
-   assign  _net_117 = disp_data&_net_24;\r
-   assign  _net_118 = (disp_data&_net_24)&_net_116;\r
-   assign  _net_119 = (bit32_cnt)==(5'b00000);\r
-   assign  _net_120 = disp_data&_net_24;\r
-   assign  _net_121 = (disp_data&_net_24)&_net_119;\r
-   assign  _net_122 = (bit32_cnt)==(5'b11111);\r
-   assign  _net_123 = disp_data&(~_net_24);\r
-   assign  _net_124 = (disp_data&(~_net_24))&_net_122;\r
-   assign  _net_125 = (disp_data&(~_net_24))&_net_122;\r
-   assign  _net_126 = (bit32_cnt)==(5'b11110);\r
-   assign  _net_127 = disp_data&(~_net_24);\r
-   assign  _net_128 = (disp_data&(~_net_24))&_net_126;\r
-   assign  _net_129 = (bit32_cnt)==(5'b11101);\r
-   assign  _net_130 = disp_data&(~_net_24);\r
-   assign  _net_131 = (disp_data&(~_net_24))&_net_129;\r
-   assign  _net_132 = (bit32_cnt)==(5'b11100);\r
-   assign  _net_133 = disp_data&(~_net_24);\r
-   assign  _net_134 = (disp_data&(~_net_24))&_net_132;\r
-   assign  _net_135 = (bit32_cnt)==(5'b11011);\r
-   assign  _net_136 = disp_data&(~_net_24);\r
-   assign  _net_137 = (disp_data&(~_net_24))&_net_135;\r
-   assign  _net_138 = (bit32_cnt)==(5'b11010);\r
-   assign  _net_139 = disp_data&(~_net_24);\r
-   assign  _net_140 = (disp_data&(~_net_24))&_net_138;\r
-   assign  _net_141 = (bit32_cnt)==(5'b11001);\r
-   assign  _net_142 = disp_data&(~_net_24);\r
-   assign  _net_143 = (disp_data&(~_net_24))&_net_141;\r
-   assign  _net_144 = (bit32_cnt)==(5'b11000);\r
-   assign  _net_145 = disp_data&(~_net_24);\r
-   assign  _net_146 = (disp_data&(~_net_24))&_net_144;\r
-   assign  _net_147 = (bit32_cnt)==(5'b10111);\r
-   assign  _net_148 = disp_data&(~_net_24);\r
-   assign  _net_149 = (disp_data&(~_net_24))&_net_147;\r
-   assign  _net_150 = (bit32_cnt)==(5'b10110);\r
-   assign  _net_151 = disp_data&(~_net_24);\r
-   assign  _net_152 = (disp_data&(~_net_24))&_net_150;\r
-   assign  _net_153 = (bit32_cnt)==(5'b10101);\r
-   assign  _net_154 = disp_data&(~_net_24);\r
-   assign  _net_155 = (disp_data&(~_net_24))&_net_153;\r
-   assign  _net_156 = (bit32_cnt)==(5'b10100);\r
-   assign  _net_157 = disp_data&(~_net_24);\r
-   assign  _net_158 = (disp_data&(~_net_24))&_net_156;\r
-   assign  _net_159 = (bit32_cnt)==(5'b10011);\r
-   assign  _net_160 = disp_data&(~_net_24);\r
-   assign  _net_161 = (disp_data&(~_net_24))&_net_159;\r
-   assign  _net_162 = (bit32_cnt)==(5'b10010);\r
-   assign  _net_163 = disp_data&(~_net_24);\r
-   assign  _net_164 = (disp_data&(~_net_24))&_net_162;\r
-   assign  _net_165 = (bit32_cnt)==(5'b10001);\r
-   assign  _net_166 = disp_data&(~_net_24);\r
-   assign  _net_167 = (disp_data&(~_net_24))&_net_165;\r
-   assign  _net_168 = (bit32_cnt)==(5'b10000);\r
-   assign  _net_169 = disp_data&(~_net_24);\r
-   assign  _net_170 = (disp_data&(~_net_24))&_net_168;\r
-   assign  _net_171 = (bit32_cnt)==(5'b01111);\r
-   assign  _net_172 = disp_data&(~_net_24);\r
-   assign  _net_173 = (disp_data&(~_net_24))&_net_171;\r
-   assign  _net_174 = (bit32_cnt)==(5'b01110);\r
-   assign  _net_175 = disp_data&(~_net_24);\r
-   assign  _net_176 = (disp_data&(~_net_24))&_net_174;\r
-   assign  _net_177 = (bit32_cnt)==(5'b01101);\r
-   assign  _net_178 = disp_data&(~_net_24);\r
-   assign  _net_179 = (disp_data&(~_net_24))&_net_177;\r
-   assign  _net_180 = (bit32_cnt)==(5'b01100);\r
-   assign  _net_181 = disp_data&(~_net_24);\r
-   assign  _net_182 = (disp_data&(~_net_24))&_net_180;\r
-   assign  _net_183 = (bit32_cnt)==(5'b01011);\r
-   assign  _net_184 = disp_data&(~_net_24);\r
-   assign  _net_185 = (disp_data&(~_net_24))&_net_183;\r
-   assign  _net_186 = (bit32_cnt)==(5'b01010);\r
-   assign  _net_187 = disp_data&(~_net_24);\r
-   assign  _net_188 = (disp_data&(~_net_24))&_net_186;\r
-   assign  _net_189 = (bit32_cnt)==(5'b01001);\r
-   assign  _net_190 = disp_data&(~_net_24);\r
-   assign  _net_191 = (disp_data&(~_net_24))&_net_189;\r
-   assign  _net_192 = (bit32_cnt)==(5'b01000);\r
-   assign  _net_193 = disp_data&(~_net_24);\r
-   assign  _net_194 = (disp_data&(~_net_24))&_net_192;\r
-   assign  _net_195 = (bit32_cnt)==(5'b00111);\r
-   assign  _net_196 = disp_data&(~_net_24);\r
-   assign  _net_197 = (disp_data&(~_net_24))&_net_195;\r
-   assign  _net_198 = (bit32_cnt)==(5'b00110);\r
-   assign  _net_199 = disp_data&(~_net_24);\r
-   assign  _net_200 = (disp_data&(~_net_24))&_net_198;\r
-   assign  _net_201 = (bit32_cnt)==(5'b00101);\r
-   assign  _net_202 = disp_data&(~_net_24);\r
-   assign  _net_203 = (disp_data&(~_net_24))&_net_201;\r
-   assign  _net_204 = (bit32_cnt)==(5'b00100);\r
-   assign  _net_205 = disp_data&(~_net_24);\r
-   assign  _net_206 = (disp_data&(~_net_24))&_net_204;\r
-   assign  _net_207 = (bit32_cnt)==(5'b00011);\r
-   assign  _net_208 = disp_data&(~_net_24);\r
-   assign  _net_209 = (disp_data&(~_net_24))&_net_207;\r
-   assign  _net_210 = (bit32_cnt)==(5'b00010);\r
-   assign  _net_211 = disp_data&(~_net_24);\r
-   assign  _net_212 = (disp_data&(~_net_24))&_net_210;\r
-   assign  _net_213 = (bit32_cnt)==(5'b00001);\r
-   assign  _net_214 = disp_data&(~_net_24);\r
-   assign  _net_215 = (disp_data&(~_net_24))&_net_213;\r
-   assign  _net_216 = (bit32_cnt)==(5'b00000);\r
-   assign  _net_217 = disp_data&(~_net_24);\r
-   assign  _net_218 = (disp_data&(~_net_24))&_net_216;\r
-   assign  v_sync_o = v_sync;\r
-   assign  h_sync_o = h_sync;\r
-   assign  vga_red_o = red;\r
-   assign  vga_green_o = green;\r
-   assign  vga_blue_o = blue;\r
-   assign  h_cnt_o = h_cnt;\r
-   assign  req_32dot = _net_13;\r
+   assign  _net_22 = fi_ack_req_32dot&r_data_select_flag;\r
+   assign  _net_23 = fi_ack_req_32dot&(~r_data_select_flag);\r
+   assign  _net_24 = ~r_flg;\r
+   assign  _net_25 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_26 = fs_disp_data&_net_24;\r
+   assign  _net_27 = (fs_disp_data&_net_24)&_net_25;\r
+   assign  _net_28 = (fs_disp_data&_net_24)&_net_25;\r
+   assign  _net_29 = (r_bit32_cnt)==(5'b11110);\r
+   assign  _net_30 = fs_disp_data&_net_24;\r
+   assign  _net_31 = (fs_disp_data&_net_24)&_net_29;\r
+   assign  _net_32 = (r_bit32_cnt)==(5'b11101);\r
+   assign  _net_33 = fs_disp_data&_net_24;\r
+   assign  _net_34 = (fs_disp_data&_net_24)&_net_32;\r
+   assign  _net_35 = (r_bit32_cnt)==(5'b11100);\r
+   assign  _net_36 = fs_disp_data&_net_24;\r
+   assign  _net_37 = (fs_disp_data&_net_24)&_net_35;\r
+   assign  _net_38 = (r_bit32_cnt)==(5'b11011);\r
+   assign  _net_39 = fs_disp_data&_net_24;\r
+   assign  _net_40 = (fs_disp_data&_net_24)&_net_38;\r
+   assign  _net_41 = (r_bit32_cnt)==(5'b11010);\r
+   assign  _net_42 = fs_disp_data&_net_24;\r
+   assign  _net_43 = (fs_disp_data&_net_24)&_net_41;\r
+   assign  _net_44 = (r_bit32_cnt)==(5'b11001);\r
+   assign  _net_45 = fs_disp_data&_net_24;\r
+   assign  _net_46 = (fs_disp_data&_net_24)&_net_44;\r
+   assign  _net_47 = (r_bit32_cnt)==(5'b11000);\r
+   assign  _net_48 = fs_disp_data&_net_24;\r
+   assign  _net_49 = (fs_disp_data&_net_24)&_net_47;\r
+   assign  _net_50 = (r_bit32_cnt)==(5'b10111);\r
+   assign  _net_51 = fs_disp_data&_net_24;\r
+   assign  _net_52 = (fs_disp_data&_net_24)&_net_50;\r
+   assign  _net_53 = (r_bit32_cnt)==(5'b10110);\r
+   assign  _net_54 = fs_disp_data&_net_24;\r
+   assign  _net_55 = (fs_disp_data&_net_24)&_net_53;\r
+   assign  _net_56 = (r_bit32_cnt)==(5'b10101);\r
+   assign  _net_57 = fs_disp_data&_net_24;\r
+   assign  _net_58 = (fs_disp_data&_net_24)&_net_56;\r
+   assign  _net_59 = (r_bit32_cnt)==(5'b10100);\r
+   assign  _net_60 = fs_disp_data&_net_24;\r
+   assign  _net_61 = (fs_disp_data&_net_24)&_net_59;\r
+   assign  _net_62 = (r_bit32_cnt)==(5'b10011);\r
+   assign  _net_63 = fs_disp_data&_net_24;\r
+   assign  _net_64 = (fs_disp_data&_net_24)&_net_62;\r
+   assign  _net_65 = (r_bit32_cnt)==(5'b10010);\r
+   assign  _net_66 = fs_disp_data&_net_24;\r
+   assign  _net_67 = (fs_disp_data&_net_24)&_net_65;\r
+   assign  _net_68 = (r_bit32_cnt)==(5'b10001);\r
+   assign  _net_69 = fs_disp_data&_net_24;\r
+   assign  _net_70 = (fs_disp_data&_net_24)&_net_68;\r
+   assign  _net_71 = (r_bit32_cnt)==(5'b10000);\r
+   assign  _net_72 = fs_disp_data&_net_24;\r
+   assign  _net_73 = (fs_disp_data&_net_24)&_net_71;\r
+   assign  _net_74 = (r_bit32_cnt)==(5'b01111);\r
+   assign  _net_75 = fs_disp_data&_net_24;\r
+   assign  _net_76 = (fs_disp_data&_net_24)&_net_74;\r
+   assign  _net_77 = (r_bit32_cnt)==(5'b01110);\r
+   assign  _net_78 = fs_disp_data&_net_24;\r
+   assign  _net_79 = (fs_disp_data&_net_24)&_net_77;\r
+   assign  _net_80 = (r_bit32_cnt)==(5'b01101);\r
+   assign  _net_81 = fs_disp_data&_net_24;\r
+   assign  _net_82 = (fs_disp_data&_net_24)&_net_80;\r
+   assign  _net_83 = (r_bit32_cnt)==(5'b01100);\r
+   assign  _net_84 = fs_disp_data&_net_24;\r
+   assign  _net_85 = (fs_disp_data&_net_24)&_net_83;\r
+   assign  _net_86 = (r_bit32_cnt)==(5'b01011);\r
+   assign  _net_87 = fs_disp_data&_net_24;\r
+   assign  _net_88 = (fs_disp_data&_net_24)&_net_86;\r
+   assign  _net_89 = (r_bit32_cnt)==(5'b01010);\r
+   assign  _net_90 = fs_disp_data&_net_24;\r
+   assign  _net_91 = (fs_disp_data&_net_24)&_net_89;\r
+   assign  _net_92 = (r_bit32_cnt)==(5'b01001);\r
+   assign  _net_93 = fs_disp_data&_net_24;\r
+   assign  _net_94 = (fs_disp_data&_net_24)&_net_92;\r
+   assign  _net_95 = (r_bit32_cnt)==(5'b01000);\r
+   assign  _net_96 = fs_disp_data&_net_24;\r
+   assign  _net_97 = (fs_disp_data&_net_24)&_net_95;\r
+   assign  _net_98 = (r_bit32_cnt)==(5'b00111);\r
+   assign  _net_99 = fs_disp_data&_net_24;\r
+   assign  _net_100 = (fs_disp_data&_net_24)&_net_98;\r
+   assign  _net_101 = (r_bit32_cnt)==(5'b00110);\r
+   assign  _net_102 = fs_disp_data&_net_24;\r
+   assign  _net_103 = (fs_disp_data&_net_24)&_net_101;\r
+   assign  _net_104 = (r_bit32_cnt)==(5'b00101);\r
+   assign  _net_105 = fs_disp_data&_net_24;\r
+   assign  _net_106 = (fs_disp_data&_net_24)&_net_104;\r
+   assign  _net_107 = (r_bit32_cnt)==(5'b00100);\r
+   assign  _net_108 = fs_disp_data&_net_24;\r
+   assign  _net_109 = (fs_disp_data&_net_24)&_net_107;\r
+   assign  _net_110 = (r_bit32_cnt)==(5'b00011);\r
+   assign  _net_111 = fs_disp_data&_net_24;\r
+   assign  _net_112 = (fs_disp_data&_net_24)&_net_110;\r
+   assign  _net_113 = (r_bit32_cnt)==(5'b00010);\r
+   assign  _net_114 = fs_disp_data&_net_24;\r
+   assign  _net_115 = (fs_disp_data&_net_24)&_net_113;\r
+   assign  _net_116 = (r_bit32_cnt)==(5'b00001);\r
+   assign  _net_117 = fs_disp_data&_net_24;\r
+   assign  _net_118 = (fs_disp_data&_net_24)&_net_116;\r
+   assign  _net_119 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_120 = fs_disp_data&_net_24;\r
+   assign  _net_121 = (fs_disp_data&_net_24)&_net_119;\r
+   assign  _net_122 = (r_bit32_cnt)==(5'b11111);\r
+   assign  _net_123 = fs_disp_data&(~_net_24);\r
+   assign  _net_124 = (fs_disp_data&(~_net_24))&_net_122;\r
+   assign  _net_125 = (fs_disp_data&(~_net_24))&_net_122;\r
+   assign  _net_126 = (r_bit32_cnt)==(5'b11110);\r
+   assign  _net_127 = fs_disp_data&(~_net_24);\r
+   assign  _net_128 = (fs_disp_data&(~_net_24))&_net_126;\r
+   assign  _net_129 = (r_bit32_cnt)==(5'b11101);\r
+   assign  _net_130 = fs_disp_data&(~_net_24);\r
+   assign  _net_131 = (fs_disp_data&(~_net_24))&_net_129;\r
+   assign  _net_132 = (r_bit32_cnt)==(5'b11100);\r
+   assign  _net_133 = fs_disp_data&(~_net_24);\r
+   assign  _net_134 = (fs_disp_data&(~_net_24))&_net_132;\r
+   assign  _net_135 = (r_bit32_cnt)==(5'b11011);\r
+   assign  _net_136 = fs_disp_data&(~_net_24);\r
+   assign  _net_137 = (fs_disp_data&(~_net_24))&_net_135;\r
+   assign  _net_138 = (r_bit32_cnt)==(5'b11010);\r
+   assign  _net_139 = fs_disp_data&(~_net_24);\r
+   assign  _net_140 = (fs_disp_data&(~_net_24))&_net_138;\r
+   assign  _net_141 = (r_bit32_cnt)==(5'b11001);\r
+   assign  _net_142 = fs_disp_data&(~_net_24);\r
+   assign  _net_143 = (fs_disp_data&(~_net_24))&_net_141;\r
+   assign  _net_144 = (r_bit32_cnt)==(5'b11000);\r
+   assign  _net_145 = fs_disp_data&(~_net_24);\r
+   assign  _net_146 = (fs_disp_data&(~_net_24))&_net_144;\r
+   assign  _net_147 = (r_bit32_cnt)==(5'b10111);\r
+   assign  _net_148 = fs_disp_data&(~_net_24);\r
+   assign  _net_149 = (fs_disp_data&(~_net_24))&_net_147;\r
+   assign  _net_150 = (r_bit32_cnt)==(5'b10110);\r
+   assign  _net_151 = fs_disp_data&(~_net_24);\r
+   assign  _net_152 = (fs_disp_data&(~_net_24))&_net_150;\r
+   assign  _net_153 = (r_bit32_cnt)==(5'b10101);\r
+   assign  _net_154 = fs_disp_data&(~_net_24);\r
+   assign  _net_155 = (fs_disp_data&(~_net_24))&_net_153;\r
+   assign  _net_156 = (r_bit32_cnt)==(5'b10100);\r
+   assign  _net_157 = fs_disp_data&(~_net_24);\r
+   assign  _net_158 = (fs_disp_data&(~_net_24))&_net_156;\r
+   assign  _net_159 = (r_bit32_cnt)==(5'b10011);\r
+   assign  _net_160 = fs_disp_data&(~_net_24);\r
+   assign  _net_161 = (fs_disp_data&(~_net_24))&_net_159;\r
+   assign  _net_162 = (r_bit32_cnt)==(5'b10010);\r
+   assign  _net_163 = fs_disp_data&(~_net_24);\r
+   assign  _net_164 = (fs_disp_data&(~_net_24))&_net_162;\r
+   assign  _net_165 = (r_bit32_cnt)==(5'b10001);\r
+   assign  _net_166 = fs_disp_data&(~_net_24);\r
+   assign  _net_167 = (fs_disp_data&(~_net_24))&_net_165;\r
+   assign  _net_168 = (r_bit32_cnt)==(5'b10000);\r
+   assign  _net_169 = fs_disp_data&(~_net_24);\r
+   assign  _net_170 = (fs_disp_data&(~_net_24))&_net_168;\r
+   assign  _net_171 = (r_bit32_cnt)==(5'b01111);\r
+   assign  _net_172 = fs_disp_data&(~_net_24);\r
+   assign  _net_173 = (fs_disp_data&(~_net_24))&_net_171;\r
+   assign  _net_174 = (r_bit32_cnt)==(5'b01110);\r
+   assign  _net_175 = fs_disp_data&(~_net_24);\r
+   assign  _net_176 = (fs_disp_data&(~_net_24))&_net_174;\r
+   assign  _net_177 = (r_bit32_cnt)==(5'b01101);\r
+   assign  _net_178 = fs_disp_data&(~_net_24);\r
+   assign  _net_179 = (fs_disp_data&(~_net_24))&_net_177;\r
+   assign  _net_180 = (r_bit32_cnt)==(5'b01100);\r
+   assign  _net_181 = fs_disp_data&(~_net_24);\r
+   assign  _net_182 = (fs_disp_data&(~_net_24))&_net_180;\r
+   assign  _net_183 = (r_bit32_cnt)==(5'b01011);\r
+   assign  _net_184 = fs_disp_data&(~_net_24);\r
+   assign  _net_185 = (fs_disp_data&(~_net_24))&_net_183;\r
+   assign  _net_186 = (r_bit32_cnt)==(5'b01010);\r
+   assign  _net_187 = fs_disp_data&(~_net_24);\r
+   assign  _net_188 = (fs_disp_data&(~_net_24))&_net_186;\r
+   assign  _net_189 = (r_bit32_cnt)==(5'b01001);\r
+   assign  _net_190 = fs_disp_data&(~_net_24);\r
+   assign  _net_191 = (fs_disp_data&(~_net_24))&_net_189;\r
+   assign  _net_192 = (r_bit32_cnt)==(5'b01000);\r
+   assign  _net_193 = fs_disp_data&(~_net_24);\r
+   assign  _net_194 = (fs_disp_data&(~_net_24))&_net_192;\r
+   assign  _net_195 = (r_bit32_cnt)==(5'b00111);\r
+   assign  _net_196 = fs_disp_data&(~_net_24);\r
+   assign  _net_197 = (fs_disp_data&(~_net_24))&_net_195;\r
+   assign  _net_198 = (r_bit32_cnt)==(5'b00110);\r
+   assign  _net_199 = fs_disp_data&(~_net_24);\r
+   assign  _net_200 = (fs_disp_data&(~_net_24))&_net_198;\r
+   assign  _net_201 = (r_bit32_cnt)==(5'b00101);\r
+   assign  _net_202 = fs_disp_data&(~_net_24);\r
+   assign  _net_203 = (fs_disp_data&(~_net_24))&_net_201;\r
+   assign  _net_204 = (r_bit32_cnt)==(5'b00100);\r
+   assign  _net_205 = fs_disp_data&(~_net_24);\r
+   assign  _net_206 = (fs_disp_data&(~_net_24))&_net_204;\r
+   assign  _net_207 = (r_bit32_cnt)==(5'b00011);\r
+   assign  _net_208 = fs_disp_data&(~_net_24);\r
+   assign  _net_209 = (fs_disp_data&(~_net_24))&_net_207;\r
+   assign  _net_210 = (r_bit32_cnt)==(5'b00010);\r
+   assign  _net_211 = fs_disp_data&(~_net_24);\r
+   assign  _net_212 = (fs_disp_data&(~_net_24))&_net_210;\r
+   assign  _net_213 = (r_bit32_cnt)==(5'b00001);\r
+   assign  _net_214 = fs_disp_data&(~_net_24);\r
+   assign  _net_215 = (fs_disp_data&(~_net_24))&_net_213;\r
+   assign  _net_216 = (r_bit32_cnt)==(5'b00000);\r
+   assign  _net_217 = fs_disp_data&(~_net_24);\r
+   assign  _net_218 = (fs_disp_data&(~_net_24))&_net_216;\r
+   assign  o_v_sync = r_v_sync;\r
+   assign  o_h_sync = r_h_sync;\r
+   assign  o_vga_red = w_red;\r
+   assign  o_vga_green = w_green;\r
+   assign  o_vga_blue = w_blue;\r
+   assign  o_h_cnt = r_h_cnt;\r
+   assign  fo_req_32dot = _net_13;\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     v_sync <= 1'b0;\r
+     r_v_sync <= 1'b0;\r
 else if ((_net_8|_net_5)) \r
-      v_sync <= ~v_sync;\r
+      r_v_sync <= ~r_v_sync;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     h_sync <= 1'b0;\r
+     r_h_sync <= 1'b0;\r
 else if ((_net_3|_net_0)) \r
-      h_sync <= ~h_sync;\r
+      r_h_sync <= ~r_h_sync;\r
 end\r
 always @(posedge p_reset)\r
  begin\r
 if (p_reset)\r
-     h_flg <= 1'b0;\r
+     r_h_flg <= 1'b0;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     vdata_flg <= 1'b0;\r
+     r_vdata_flg <= 1'b0;\r
 else if ((_net_7)|(_net_6)) \r
-      vdata_flg <= ((_net_7) ?1'b1:1'b0)|\r
+      r_vdata_flg <= ((_net_7) ?1'b1:1'b0)|\r
     ((_net_6) ?1'b0:1'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     hdata_flg <= 1'b0;\r
+     r_hdata_flg <= 1'b0;\r
 else if ((_net_2)|(_net_1)) \r
-      hdata_flg <= ((_net_2) ?1'b1:1'b0)|\r
+      r_hdata_flg <= ((_net_2) ?1'b1:1'b0)|\r
     ((_net_1) ?1'b0:1'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     h_cnt <= 10'b0000000000;\r
+     r_h_cnt <= 10'b0000000000;\r
 else if ((_net_4|_net_3|_net_2|_net_1)|(_net_0)) \r
-      h_cnt <= ((_net_4|_net_3|_net_2|_net_1) ?(h_cnt)+(10'b0000000001):10'b0)|\r
+      r_h_cnt <= ((_net_4|_net_3|_net_2|_net_1) ?(r_h_cnt)+(10'b0000000001):10'b0)|\r
     ((_net_0) ?10'b0000000000:10'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     v_cnt <= 19'b0000000000000000000;\r
+     r_v_cnt <= 19'b0000000000000000000;\r
 else if ((_net_9|_net_8|_net_7|_net_6)|(_net_5)) \r
-      v_cnt <= ((_net_9|_net_8|_net_7|_net_6) ?(v_cnt)+(19'b0000000000000000001):19'b0)|\r
+      r_v_cnt <= ((_net_9|_net_8|_net_7|_net_6) ?(r_v_cnt)+(19'b0000000000000000001):19'b0)|\r
     ((_net_5) ?19'b0000000000000000000:19'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     bit32_cnt <= 5'b00000;\r
-else if ((disp_data)|(_net_16)|(_net_17|_net_15)) \r
-      bit32_cnt <= ((disp_data) ?(bit32_cnt)+(5'b00001):5'b0)|\r
-    ((_net_16) ?(bit32_cnt)+(5'b00001):5'b0)|\r
+     r_bit32_cnt <= 5'b00000;\r
+else if ((fs_disp_data)|(_net_16)|(_net_17|_net_15)) \r
+      r_bit32_cnt <= ((fs_disp_data) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
+    ((_net_16) ?(r_bit32_cnt)+(5'b00001):5'b0)|\r
     ((_net_17|_net_15) ?5'b00000:5'b0);\r
 \r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     reg_flg <= 1'b0;\r
+     r_flg <= 1'b0;\r
 else if ((_net_125|_net_28)) \r
-      reg_flg <= ~reg_flg;\r
+      r_flg <= ~r_flg;\r
 end\r
 always @(posedge p_reset)\r
  begin\r
 if (p_reset)\r
-     reg_cnt <= 1'b0;\r
+     r_cnt <= 1'b0;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r1 <= 32'b00000000000000000000000000000000;\r
 else if ((_net_22)) \r
-      r1 <= pix32_data_i;\r
+      r1 <= i_pix32_data;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
      r2 <= 32'b00000000000000000000000000000000;\r
 else if ((_net_23)) \r
-      r2 <= pix32_data_i;\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     data_select_flag <= 1'b0;\r
-else if ((ack_req_32dot)) \r
-      data_select_flag <= ~data_select_flag;\r
-end\r
-endmodule\r
-/*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jul 07 21:06:36 2011\r
- Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp \r
-*/\r
-\r
-module vga_top ( p_reset , m_clock , o_v_sync , o_h_sync , o_red , o_green , o_blue , o_LED );\r
-  input p_reset, m_clock;\r
-  output o_v_sync;\r
-  output o_h_sync;\r
-  output [3:0] o_red;\r
-  output [3:0] o_green;\r
-  output [3:0] o_blue;\r
-  output [7:0] o_LED;\r
-  reg r_cnt;\r
-  reg [2:0] r_reset;\r
-  reg [14:0] r_line_cnt;\r
-  reg [13:0] r_line_cnt2;\r
-  reg [13:0] r_vram_adrs_cnt;\r
-  reg [24:0] r_Sec_cnt;\r
-  reg r_Init_flag;\r
-  reg [7:0] r_LED;\r
-  reg r_test_LED;\r
-  reg [7:0] line_buff1 [0:79];\r
-  reg [7:0] line_buff2 [0:79];\r
-  wire fs_vga_sys_init;\r
-  wire [14:0] _net_221;\r
-  wire _u_VGA_p_reset;\r
-  wire _u_VGA_m_clock;\r
-  wire [31:0] _u_VGA_pix32_data_i;\r
-  wire _u_VGA_v_sync_o;\r
-  wire _u_VGA_h_sync_o;\r
-  wire [3:0] _u_VGA_vga_red_o;\r
-  wire [3:0] _u_VGA_vga_green_o;\r
-  wire [3:0] _u_VGA_vga_blue_o;\r
-  wire [9:0] _u_VGA_h_cnt_o;\r
-  wire _u_VGA_ack_req_32dot;\r
-  wire _u_VGA_req_32dot;\r
-  wire [13:0] _U_EXP_iRadrs;\r
-  wire [15:0] _U_EXP_oRdata;\r
-  wire _U_EXP_fiRd_req;\r
-  wire _U_EXP_foRd_ack;\r
-  wire [7:0] _U_EXP_iWdata;\r
-  wire [13:0] _U_EXP_iWadrs;\r
-  wire _U_EXP_fiWr_req;\r
-  wire _U_EXP_p_reset;\r
-  wire _U_EXP_m_clock;\r
-  wire _net_222;\r
-  wire _net_223;\r
-  wire _net_224;\r
-  wire _net_225;\r
-  wire _net_226;\r
-  wire _net_227;\r
-  wire _net_228;\r
-  wire _net_229;\r
-  wire _net_230;\r
-  wire _net_231;\r
-  wire _net_232;\r
-  wire _net_233;\r
-  reg _reg_234;\r
-  reg _reg_235;\r
-  reg _reg_236;\r
-  reg _reg_237;\r
-  wire _net_238;\r
-  wire _reg_235_goto;\r
-  wire _net_239;\r
-  wire _reg_236_goin;\r
-  wire _net_240;\r
-  wire _net_241;\r
-  wire _reg_236_goto;\r
-  wire _net_242;\r
-  wire _reg_234_goin;\r
-  wire _net_243;\r
-  wire _net_244;\r
-  wire _net_245;\r
-  wire _net_246;\r
-  wire _net_247;\r
-  wire _net_248;\r
-  wire _net_249;\r
-  wire _net_250;\r
-exp_ctrl U_EXP (.p_reset(p_reset), .m_clock(m_clock), .fiWr_req(_U_EXP_fiWr_req), .iWadrs(_U_EXP_iWadrs), .iWdata(_U_EXP_iWdata), .foRd_ack(_U_EXP_foRd_ack), .fiRd_req(_U_EXP_fiRd_req), .oRdata(_U_EXP_oRdata), .iRadrs(_U_EXP_iRadrs));\r
-vga_generate u_VGA (.req_32dot(_u_VGA_req_32dot), .ack_req_32dot(_u_VGA_ack_req_32dot), .h_cnt_o(_u_VGA_h_cnt_o), .vga_blue_o(_u_VGA_vga_blue_o), .vga_green_o(_u_VGA_vga_green_o), .vga_red_o(_u_VGA_vga_red_o), .h_sync_o(_u_VGA_h_sync_o), .v_sync_o(_u_VGA_v_sync_o), .pix32_data_i(_u_VGA_pix32_data_i), .m_clock(_u_VGA_m_clock), .p_reset(_u_VGA_p_reset));\r
-\r
-   assign  fs_vga_sys_init = _net_222;\r
-   assign  _net_221 = (r_line_cnt)+(15'b000000000000001);\r
-   assign  _u_VGA_p_reset = r_reset[2];\r
-   assign  _u_VGA_m_clock = r_cnt;\r
-   assign  _u_VGA_pix32_data_i = 32'b11111111111111111111111111111111;\r
-   assign  _u_VGA_ack_req_32dot = _u_VGA_req_32dot;\r
-   assign  _U_EXP_iRadrs = r_line_cnt2;\r
-   assign  _U_EXP_fiRd_req = _net_224;\r
-   assign  _U_EXP_iWdata = 8'b11110000;\r
-   assign  _U_EXP_iWadrs = r_line_cnt[13:0];\r
-   assign  _U_EXP_fiWr_req = _net_244;\r
-   assign  _net_222 = (r_reset)==(3'b100);\r
-   assign  _net_223 = (r_Sec_cnt)==(25'b0111110101111000010000000);\r
-   assign  _net_224 = r_Init_flag&_net_223;\r
-   assign  _net_225 = r_Init_flag&_net_223;\r
-   assign  _net_226 = r_Init_flag&_net_223;\r
-   assign  _net_227 = r_Init_flag&_net_223;\r
-   assign  _net_228 = (r_line_cnt2)==(14'b00001111101000);\r
-   assign  _net_229 = r_Init_flag&_net_223;\r
-   assign  _net_230 = (r_Init_flag&_net_223)&_net_228;\r
-   assign  _net_231 = (r_Init_flag&_net_223)&(~_net_228);\r
-   assign  _net_232 = r_Init_flag&(~_net_223);\r
-   assign  _net_233 = ~r_Init_flag;\r
-   assign  _net_238 = (_net_221) < (15'b100000000000000);\r
-   assign  _reg_235_goto = _net_239;\r
-   assign  _net_239 = _reg_235&_net_238;\r
-   assign  _reg_236_goin = _net_240;\r
-   assign  _net_240 = _reg_235&_net_238;\r
-   assign  _net_241 = ~((r_line_cnt) < (15'b100000000000000));\r
-   assign  _reg_236_goto = _net_242;\r
-   assign  _net_242 = _reg_236&_net_241;\r
-   assign  _reg_234_goin = _net_243;\r
-   assign  _net_243 = _reg_236&_net_241;\r
-   assign  _net_244 = _reg_236&(~_net_241);\r
-   assign  _net_245 = _reg_236&(~_net_241);\r
-   assign  _net_246 = _reg_236&(~_net_241);\r
-   assign  _net_247 = fs_vga_sys_init|_reg_237;\r
-   assign  _net_248 = (_reg_236_goin|fs_vga_sys_init)|_reg_236|_reg_237;\r
-   assign  _net_249 = (_reg_236_goin|fs_vga_sys_init)|_reg_235|_reg_236;\r
-   assign  _net_250 = _reg_234_goin|_reg_234|_reg_235;\r
-   assign  o_v_sync = _u_VGA_v_sync_o;\r
-   assign  o_h_sync = _u_VGA_h_sync_o;\r
-   assign  o_red = _u_VGA_vga_red_o;\r
-   assign  o_green = _u_VGA_vga_green_o;\r
-   assign  o_blue = _u_VGA_vga_blue_o;\r
-   assign  o_LED = r_LED;\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_cnt <= 1'b0;\r
-else   r_cnt <= ~r_cnt;\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_reset <= 3'b111;\r
-else   r_reset <= {r_reset[1:0],1'b0};\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_line_cnt <= 15'b000000000000000;\r
-else if ((_net_247)|(_reg_235)) \r
-      r_line_cnt <= ((_net_247) ?15'b000000000000000:15'b0)|\r
-    ((_reg_235) ?_net_221:15'b0);\r
-\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_line_cnt2 <= 14'b00000000000000;\r
-else if ((_net_231)|(_net_230)) \r
-      r_line_cnt2 <= ((_net_231) ?(r_line_cnt2)+(14'b00000000000001):14'b0)|\r
-    ((_net_230) ?14'b00000000000000:14'b0);\r
-\r
-end\r
-always @(posedge p_reset)\r
- begin\r
-if (p_reset)\r
-     r_vram_adrs_cnt <= 14'b00000000000000;\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_Sec_cnt <= 25'b0000000000000000000000000;\r
-else if ((_net_232)|(_net_233|_net_226)) \r
-      r_Sec_cnt <= ((_net_232) ?(r_Sec_cnt)+(25'b0000000000000000000000001):25'b0)|\r
-    ((_net_233|_net_226) ?25'b0000000000000000000000000:25'b0);\r
-\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_Init_flag <= 1'b0;\r
-else if ((_reg_234)) \r
-      r_Init_flag <= 1'b1;\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_LED <= 8'b00000000;\r
-else if ((_U_EXP_foRd_ack)) \r
-      r_LED <= {_U_EXP_oRdata[10],_U_EXP_oRdata[8],_U_EXP_oRdata[6],_U_EXP_oRdata[4],_U_EXP_oRdata[2],_U_EXP_oRdata[0],r_test_LED,r_Init_flag};\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     r_test_LED <= 1'b0;\r
-else if ((_net_227)) \r
-      r_test_LED <= ~r_test_LED;\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     _reg_234 <= 1'b0;\r
-else if ((_net_250)) \r
-      _reg_234 <= _reg_234_goin|(_reg_235&(~_reg_235_goto));\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     _reg_235 <= 1'b0;\r
-else if ((_net_249)) \r
-      _reg_235 <= _reg_236&(~_reg_236_goto);\r
-end\r
-always @(posedge m_clock or posedge p_reset)\r
-  begin\r
-if (p_reset)\r
-     _reg_236 <= 1'b0;\r
-else if ((_net_248)) \r
-      _reg_236 <= (_reg_236_goin|_reg_237)|fs_vga_sys_init;\r
+      r2 <= i_pix32_data;\r
 end\r
 always @(posedge m_clock or posedge p_reset)\r
   begin\r
 if (p_reset)\r
-     _reg_237 <= 1'b0;\r
-else if ((_reg_237)) \r
-      _reg_237 <= 1'b0;\r
+     r_data_select_flag <= 1'b0;\r
+else if ((fi_ack_req_32dot)) \r
+      r_data_select_flag <= ~r_data_select_flag;\r
 end\r
 endmodule\r
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jul 07 21:06:37 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Fri Jul 08 20:39:41 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp \r
 */\r
index 0f4ffe8..fef0781 100644 (file)
@@ -1,34 +1,33 @@
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jun 30 20:35:26 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Fri Jul 08 12:10:33 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp :NON PROFIT USER:\r
 */\r
 \r
-module vram ( clock , data , rdaddress , wraddress , wren , q );\r
+module vram ( p_reset , m_clock , clock , data , rdaddress , wraddress , wren , q );\r
+  input p_reset, m_clock;\r
   input clock;\r
   input [7:0] data;\r
   input [13:0] rdaddress;\r
   input [13:0] wraddress;\r
   input wren;\r
-  input rden;\r
   output [7:0] q;\r
   reg [7:0] m_vram [0:16383];\r
   reg [7:0] r_ram_data;\r
 \r
-  assign  q = r_ram_data;\r
+   assign  q = r_ram_data;\r
 always @(posedge m_clock)\r
   begin\r
-   if (wren)\r
+   if (wren )\r
      m_vram[wraddress] <= data;\r
 end\r
-\r
-always @(posedge m_clock)\r
-begin\r
-       if (rden)\r
-               r_ram_data <= m_vram[rdaddress];\r
+always @(posedge m_clock or posedge p_reset)\r
+  begin\r
+if (p_reset)\r
+     r_ram_data <= 8'b00000000;\r
+else   r_ram_data <= m_vram[rdaddress];\r
 end\r
-\r
 endmodule\r
 /*\r
- Produced by NSL Core(version=20110302), IP ARCH, Inc. Thu Jun 30 20:35:26 2011\r
+ Produced by NSL Core(version=20110302), IP ARCH, Inc. Fri Jul 08 12:10:34 2011\r
  Licensed to Yujiro_Kaneko::yujiro.kaneko@overtone.co.jp \r
 */\r
diff --git a/VGADisplay/src/FIFO.nsh b/VGADisplay/src/FIFO.nsh
new file mode 100644 (file)
index 0000000..c571607
--- /dev/null
@@ -0,0 +1,20 @@
+declare FIFO interface {
+       input p_reset ;
+       input m_clock ;
+       
+       input i_we1 ;
+       input i_wadrs1[7] ;
+       input i_wdata1[8] ;
+
+       input i_we2 ;
+       input i_wadrs2[7] ;
+       input i_wdata2[8] ;
+       
+       input i_radrs1[7] ;
+       output o_rdasrs1[8] ;
+
+       input i_radrs2[7] ;
+       output o_rdasrs2[8] ;
+       
+       input i_clock ;
+}
diff --git a/VGADisplay/src/FIFO.nsl b/VGADisplay/src/FIFO.nsl
deleted file mode 100644 (file)
index 50257d2..0000000
+++ /dev/null
@@ -1,4 +0,0 @@
-declare FIFO{
-}
-module FIFO{
-}
\ No newline at end of file
index 53d8a3e..f903d83 100644 (file)
@@ -1,14 +1,14 @@
 declare vga_generate interface {
        input  p_reset ;
        input  m_clock ;
-       input  pix32_data_i[32] ;
-       output v_sync_o ;
-       output h_sync_o ;
-       output vga_red_o[4] ;
-       output vga_green_o[4] ;
-       output vga_blue_o[4] ;
-       output h_cnt_o[10] ;
+       input  i_pix32_data[32] ;
+       output o_v_sync ;
+       output o_h_sync ;
+       output o_vga_red[4] ;
+       output o_vga_green[4] ;
+       output o_vga_blue[4] ;
+       output o_h_cnt[10] ;
 
-       func_in ack_req_32dot(in_r1) ;
-       func_out req_32dot ;
-}
+       func_in  fi_ack_req_32dot(i_pix32_data) ;
+       func_out fo_req_32dot ;
+}
\ No newline at end of file
index 5af645d..3f17a66 100644 (file)
 //%d CNT_V2                    0b1100101110000011111 /* 416800clock */
 
 /**
-*      @name   VGA\81@Signal Generate Circuit
+*      VGA\81@Signal Generate Circuit
+*      Module name is "vga_generate"
 *      @auther Yujiro Kaneko
-*
-*
-*
-*
+*      @version 1.2
 **/
 
 %d CNT_H_00                    10'd0           /* for Initialize */
 declare vga_generate  interface {
        input  p_reset ;
        input  m_clock ;
-       input  pix32_data_i[32] ;
-       output v_sync_o ;
-       output h_sync_o ;
-       output vga_red_o[4] ;
-       output vga_green_o[4] ;
-       output vga_blue_o[4] ;
-       output h_cnt_o[10] ;
+       input  i_pix32_data[32] ;
+       output o_v_sync ;
+       output o_h_sync ;
+       output o_vga_red[4] ;
+       output o_vga_green[4] ;
+       output o_vga_blue[4] ;
+       output o_h_cnt[10] ;
 
-       func_in ack_req_32dot(pix32_data_i) ;
-       func_out req_32dot ;
+       func_in  fi_ack_req_32dot(i_pix32_data) ;
+       func_out fo_req_32dot ;
 }
 
 module vga_generate {
-       func_self disp_data;
+       func_self fs_disp_data ;
 
-       reg v_sync = 0 ;
-       reg h_sync = 0 ;
-       reg h_flg = 0 ;
+       reg r_v_sync = 0 ;
+       reg r_h_sync = 0 ;
+       reg r_h_flg = 0 ;
 
-       reg vdata_flg = 0 ;
-       reg hdata_flg = 0 ;
+       reg r_vdata_flg = 0 ;
+       reg r_hdata_flg = 0 ;
 
-       reg h_cnt[10] = 0 ;
-       reg v_cnt[19] = 0 ;
+       reg r_h_cnt[10] = 0 ;
+       reg r_v_cnt[19] = 0 ;
 
-       reg bit32_cnt[5] = 0 ;
-       reg reg_flg = 0 ;
-       reg reg_cnt = 0 ;
+       reg r_bit32_cnt[5] = 0 ;
+       reg r_flg = 0 ;
+       reg r_cnt = 0 ;
        reg r1[32] = 0 ;
        reg r2[32] = 0 ;
-       reg data_select_flag = 0 ;
+       reg r_data_select_flag = 0 ;
 
-       wire red[4] ;
-       wire green[4] ;
-       wire blue[4] ;
-       wire sel_disp_data;
+       wire w_red[4] ;
+       wire w_green[4] ;
+       wire w_blue[4] ;
+       wire w_disp_data;
 
        {
-               v_sync_o        = v_sync;
-               h_sync_o        = h_sync;
-               vga_red_o       = red;
-               vga_green_o     = green;
-               vga_blue_o  = blue;
-               h_cnt_o         = h_cnt;
+               o_v_sync        = r_v_sync;
+               o_h_sync        = r_h_sync;
+               o_vga_red       = w_red;
+               o_vga_green     = w_green;
+               o_vga_blue  = w_blue;
+               o_h_cnt         = r_h_cnt;
 
 
                //horizonal synchronous signal generate
                any{
-                       h_cnt == 10'd96 : {
-                               h_sync := ~h_sync;
-                               h_cnt++ ;
+                       r_h_cnt == 10'd96 : {
+                               r_h_sync := ~r_h_sync;
+                               r_h_cnt++ ;
                        }
-                       h_cnt == 10'd142 : {
-                               hdata_flg := 0b1;
-                               h_cnt++ ;
+                       r_h_cnt == 10'd142 : {
+                               r_hdata_flg := 0b1;
+                               r_h_cnt++ ;
                        }
-                       h_cnt == 10'd782 : {
-                               hdata_flg := 0b0;
-                               h_cnt++ ;
+                       r_h_cnt == 10'd782 : {
+                               r_hdata_flg := 0b0;
+                               r_h_cnt++ ;
                        }
-                       h_cnt == 10'd800 : {
-                               h_sync := ~h_sync;
-                               h_cnt  := 0 ;
+                       r_h_cnt == 10'd800 : {
+                               r_h_sync := ~r_h_sync;
+                               r_h_cnt  := 0 ;
                        }
                        else : {
-                               h_cnt++ ;
+                               r_h_cnt++ ;
                        }
                }
 
                //vartical synchronous signal generate
                any{
-                       v_cnt == CNT_V1 : {
-                               v_sync := ~v_sync;
-                               v_cnt++ ;
+                       r_v_cnt == CNT_V1 : {
+                               r_v_sync := ~r_v_sync;
+                               r_v_cnt++ ;
                        }
-                       v_cnt == CNT_V_DATA_IN : {
-                               vdata_flg := 0b1;
-                               v_cnt++ ;
+                       r_v_cnt == CNT_V_DATA_IN : {
+                               r_vdata_flg := 0b1;
+                               r_v_cnt++ ;
                        }
-                       v_cnt == CNT_V_DATA_OUT : {
-                               vdata_flg := 0b0;
-                               v_cnt++ ;
+                       r_v_cnt == CNT_V_DATA_OUT : {
+                               r_vdata_flg := 0b0;
+                               r_v_cnt++ ;
                        }
-                       v_cnt == CNT_V2 : {
-                               v_sync := ~v_sync;
-                               v_cnt  := 0 ;
+                       r_v_cnt == CNT_V2 : {
+                               r_v_sync := ~r_v_sync;
+                               r_v_cnt  := 0 ;
                        }
                        else : {
-                               v_cnt++ ;
+                               r_v_cnt++ ;
                        }
                }
 
                //address counter
-               if( hdata_flg&vdata_flg ) disp_data() ;
+               if( r_hdata_flg & r_vdata_flg ) fs_disp_data();
 
                //32dot request signal
                any {
-                       ((h_cnt >= (CNT_H_DATA_IN + H_MINUS_32)) &
-                       (h_cnt <= (CNT_H_DATA_OUT + H_MINUS_32 + H_MINUS_1))) &  
-                       ((v_cnt >= (CNT_V_DATA_IN + V_MINUS_32)) &
-                       (v_cnt <= (CNT_V_DATA_OUT + V_MINUS_32 + V_MINUS_1))) : {
-                               if(bit32_cnt == 0b00000) req_32dot() ;
+                       ((r_h_cnt >= (CNT_H_DATA_IN + H_MINUS_32)) &
+                       (r_h_cnt <= (CNT_H_DATA_OUT + H_MINUS_32 + H_MINUS_1))) &  
+                       ((r_v_cnt >= (CNT_V_DATA_IN + V_MINUS_32)) &
+                       (r_v_cnt <= (CNT_V_DATA_OUT + V_MINUS_32 + V_MINUS_1))) : {
+                               if(r_bit32_cnt == 0b00000) fo_req_32dot() ;
                                any {
-                                       bit32_cnt == 0b11111 : bit32_cnt := 0b00000 ;
-                                       else                     : bit32_cnt := bit32_cnt + 0b00001 ;
+                                       r_bit32_cnt == 0b11111 : r_bit32_cnt := 0b00000 ;
+                                       else                     : r_bit32_cnt := r_bit32_cnt + 0b00001 ;
                                }
                        }
-                       else : bit32_cnt := 0b00000 ;
+                       else : r_bit32_cnt := 0b00000 ;
                }
 
 
-               if (hdata_flg & vdata_flg) {
-                       red = 4'h0;
-                       blue = 4'hF;
-                       green = 4'h0;
+               if (r_hdata_flg & r_vdata_flg) {
+                       w_red = 4'h0;
+                       w_blue = 4'hF;
+                       w_green = 4'h0;
 
 /*
                        any {
-                               sel_disp_data : {
-                                       red             = 4'hF ;
-                                       blue    = 4'hF ;
-                                       green   = 4'hF ;
+                               w_disp_data : {
+                                       w_red   = 4'hF ;
+                                       w_blue  = 4'hF ;
+                                       w_green = 4'hF ;
                                }
-                               ~sel_disp_data : {
-                                       red             = 4'hF ;
-                                       blue    = 4'h0 ;
-                                       green   = 4'h0 ;
+                               ~w_disp_data : {
+                                       w_red   = 4'hF ;
+                                       w_blue  = 4'h0 ;
+                                       w_green = 4'h0 ;
                                }
                        }
 */
                } else {
-                       red             = 4'h0 ;
-                       blue    = 4'h0 ;
-                       green   = 4'h0 ;
+                       w_red   = 4'h0 ;
+                       w_blue  = 4'h0 ;
+                       w_green = 4'h0 ;
                }
                
        }//par end
 
 
-       func ack_req_32dot {
-               data_select_flag := ~data_select_flag ;
+       func fi_ack_req_32dot {
+               r_data_select_flag := ~r_data_select_flag ;
                any{
-                       data_select_flag : r1 := pix32_data_i ;
-                       else                     : r2 := pix32_data_i ;
+                       r_data_select_flag : r1 := i_pix32_data ;
+                       else                       : r2 := i_pix32_data ;
                }
        }
 
-       func disp_data {
+       func fs_disp_data {
                any{
-                       ~reg_flg : any{
-                               bit32_cnt == 0b00000 : sel_disp_data = r1[31] ;
-                               bit32_cnt == 0b00001 : sel_disp_data = r1[30] ;
-                               bit32_cnt == 0b00010 : sel_disp_data = r1[29] ;
-                               bit32_cnt == 0b00011 : sel_disp_data = r1[28] ;
-                               bit32_cnt == 0b00100 : sel_disp_data = r1[27] ;
-                               bit32_cnt == 0b00101 : sel_disp_data = r1[26] ;
-                               bit32_cnt == 0b00110 : sel_disp_data = r1[25] ;
-                               bit32_cnt == 0b00111 : sel_disp_data = r1[24] ;
-                               bit32_cnt == 0b01000 : sel_disp_data = r1[23] ;
-                               bit32_cnt == 0b01001 : sel_disp_data = r1[22] ;
-                               bit32_cnt == 0b01010 : sel_disp_data = r1[21] ;
-                               bit32_cnt == 0b01011 : sel_disp_data = r1[20] ;
-                               bit32_cnt == 0b01100 : sel_disp_data = r1[19] ;
-                               bit32_cnt == 0b01101 : sel_disp_data = r1[18] ;
-                               bit32_cnt == 0b01110 : sel_disp_data = r1[17] ;
-                               bit32_cnt == 0b01111 : sel_disp_data = r1[16] ;
-                               bit32_cnt == 0b10000 : sel_disp_data = r1[15] ;
-                               bit32_cnt == 0b10001 : sel_disp_data = r1[14] ;
-                               bit32_cnt == 0b10010 : sel_disp_data = r1[13] ;
-                               bit32_cnt == 0b10011 : sel_disp_data = r1[12] ;
-                               bit32_cnt == 0b10100 : sel_disp_data = r1[11] ;
-                               bit32_cnt == 0b10101 : sel_disp_data = r1[10] ;
-                               bit32_cnt == 0b10110 : sel_disp_data = r1[9] ;
-                               bit32_cnt == 0b10111 : sel_disp_data = r1[8] ;
-                               bit32_cnt == 0b11000 : sel_disp_data = r1[7] ;
-                               bit32_cnt == 0b11001 : sel_disp_data = r1[6] ;
-                               bit32_cnt == 0b11010 : sel_disp_data = r1[5] ;
-                               bit32_cnt == 0b11011 : sel_disp_data = r1[4] ;
-                               bit32_cnt == 0b11100 : sel_disp_data = r1[3] ;
-                               bit32_cnt == 0b11101 : sel_disp_data = r1[2] ;
-                               bit32_cnt == 0b11110 : sel_disp_data = r1[1] ;
-                               bit32_cnt == 0b11111 : {
-                                                                          sel_disp_data = r1[0] ;
-                                                                          reg_flg := ~reg_flg ;
+                       ~r_flg : any{
+                               r_bit32_cnt == 0b00000 : w_disp_data = r1[31] ;
+                               r_bit32_cnt == 0b00001 : w_disp_data = r1[30] ;
+                               r_bit32_cnt == 0b00010 : w_disp_data = r1[29] ;
+                               r_bit32_cnt == 0b00011 : w_disp_data = r1[28] ;
+                               r_bit32_cnt == 0b00100 : w_disp_data = r1[27] ;
+                               r_bit32_cnt == 0b00101 : w_disp_data = r1[26] ;
+                               r_bit32_cnt == 0b00110 : w_disp_data = r1[25] ;
+                               r_bit32_cnt == 0b00111 : w_disp_data = r1[24] ;
+                               r_bit32_cnt == 0b01000 : w_disp_data = r1[23] ;
+                               r_bit32_cnt == 0b01001 : w_disp_data = r1[22] ;
+                               r_bit32_cnt == 0b01010 : w_disp_data = r1[21] ;
+                               r_bit32_cnt == 0b01011 : w_disp_data = r1[20] ;
+                               r_bit32_cnt == 0b01100 : w_disp_data = r1[19] ;
+                               r_bit32_cnt == 0b01101 : w_disp_data = r1[18] ;
+                               r_bit32_cnt == 0b01110 : w_disp_data = r1[17] ;
+                               r_bit32_cnt == 0b01111 : w_disp_data = r1[16] ;
+                               r_bit32_cnt == 0b10000 : w_disp_data = r1[15] ;
+                               r_bit32_cnt == 0b10001 : w_disp_data = r1[14] ;
+                               r_bit32_cnt == 0b10010 : w_disp_data = r1[13] ;
+                               r_bit32_cnt == 0b10011 : w_disp_data = r1[12] ;
+                               r_bit32_cnt == 0b10100 : w_disp_data = r1[11] ;
+                               r_bit32_cnt == 0b10101 : w_disp_data = r1[10] ;
+                               r_bit32_cnt == 0b10110 : w_disp_data = r1[9] ;
+                               r_bit32_cnt == 0b10111 : w_disp_data = r1[8] ;
+                               r_bit32_cnt == 0b11000 : w_disp_data = r1[7] ;
+                               r_bit32_cnt == 0b11001 : w_disp_data = r1[6] ;
+                               r_bit32_cnt == 0b11010 : w_disp_data = r1[5] ;
+                               r_bit32_cnt == 0b11011 : w_disp_data = r1[4] ;
+                               r_bit32_cnt == 0b11100 : w_disp_data = r1[3] ;
+                               r_bit32_cnt == 0b11101 : w_disp_data = r1[2] ;
+                               r_bit32_cnt == 0b11110 : w_disp_data = r1[1] ;
+                               r_bit32_cnt == 0b11111 : {
+                                                                          w_disp_data = r1[0] ;
+                                                                          r_flg := ~r_flg ;
                                }
                        }
                        else : any{
-                               bit32_cnt == 0b00000 : sel_disp_data = r2[31] ;
-                               bit32_cnt == 0b00001 : sel_disp_data = r2[30] ;
-                               bit32_cnt == 0b00010 : sel_disp_data = r2[29] ;
-                               bit32_cnt == 0b00011 : sel_disp_data = r2[28] ;
-                               bit32_cnt == 0b00100 : sel_disp_data = r2[27] ;
-                               bit32_cnt == 0b00101 : sel_disp_data = r2[26] ;
-                               bit32_cnt == 0b00110 : sel_disp_data = r2[25] ;
-                               bit32_cnt == 0b00111 : sel_disp_data = r2[24] ;
-                               bit32_cnt == 0b01000 : sel_disp_data = r2[23] ;
-                               bit32_cnt == 0b01001 : sel_disp_data = r2[22] ;
-                               bit32_cnt == 0b01010 : sel_disp_data = r2[21] ;
-                               bit32_cnt == 0b01011 : sel_disp_data = r2[20] ;
-                               bit32_cnt == 0b01100 : sel_disp_data = r2[19] ;
-                               bit32_cnt == 0b01101 : sel_disp_data = r2[18] ;
-                               bit32_cnt == 0b01110 : sel_disp_data = r2[17] ;
-                               bit32_cnt == 0b01111 : sel_disp_data = r2[16] ;
-                               bit32_cnt == 0b10000 : sel_disp_data = r2[15] ;
-                               bit32_cnt == 0b10001 : sel_disp_data = r2[14] ;
-                               bit32_cnt == 0b10010 : sel_disp_data = r2[13] ;
-                               bit32_cnt == 0b10011 : sel_disp_data = r2[12] ;
-                               bit32_cnt == 0b10100 : sel_disp_data = r2[11] ;
-                               bit32_cnt == 0b10101 : sel_disp_data = r2[10] ;
-                               bit32_cnt == 0b10110 : sel_disp_data = r2[9] ;
-                               bit32_cnt == 0b10111 : sel_disp_data = r2[8] ;
-                               bit32_cnt == 0b11000 : sel_disp_data = r2[7] ;
-                               bit32_cnt == 0b11001 : sel_disp_data = r2[6] ;
-                               bit32_cnt == 0b11010 : sel_disp_data = r2[5] ;
-                               bit32_cnt == 0b11011 : sel_disp_data = r2[4] ;
-                               bit32_cnt == 0b11100 : sel_disp_data = r2[3] ;
-                               bit32_cnt == 0b11101 : sel_disp_data = r2[2] ;
-                               bit32_cnt == 0b11110 : sel_disp_data = r2[1] ;
-                               bit32_cnt == 0b11111 : {
-                                                                          sel_disp_data = r2[0] ;
-                                                                          reg_flg := ~reg_flg ;
+                               r_bit32_cnt == 0b00000 : w_disp_data = r2[31] ;
+                               r_bit32_cnt == 0b00001 : w_disp_data = r2[30] ;
+                               r_bit32_cnt == 0b00010 : w_disp_data = r2[29] ;
+                               r_bit32_cnt == 0b00011 : w_disp_data = r2[28] ;
+                               r_bit32_cnt == 0b00100 : w_disp_data = r2[27] ;
+                               r_bit32_cnt == 0b00101 : w_disp_data = r2[26] ;
+                               r_bit32_cnt == 0b00110 : w_disp_data = r2[25] ;
+                               r_bit32_cnt == 0b00111 : w_disp_data = r2[24] ;
+                               r_bit32_cnt == 0b01000 : w_disp_data = r2[23] ;
+                               r_bit32_cnt == 0b01001 : w_disp_data = r2[22] ;
+                               r_bit32_cnt == 0b01010 : w_disp_data = r2[21] ;
+                               r_bit32_cnt == 0b01011 : w_disp_data = r2[20] ;
+                               r_bit32_cnt == 0b01100 : w_disp_data = r2[19] ;
+                               r_bit32_cnt == 0b01101 : w_disp_data = r2[18] ;
+                               r_bit32_cnt == 0b01110 : w_disp_data = r2[17] ;
+                               r_bit32_cnt == 0b01111 : w_disp_data = r2[16] ;
+                               r_bit32_cnt == 0b10000 : w_disp_data = r2[15] ;
+                               r_bit32_cnt == 0b10001 : w_disp_data = r2[14] ;
+                               r_bit32_cnt == 0b10010 : w_disp_data = r2[13] ;
+                               r_bit32_cnt == 0b10011 : w_disp_data = r2[12] ;
+                               r_bit32_cnt == 0b10100 : w_disp_data = r2[11] ;
+                               r_bit32_cnt == 0b10101 : w_disp_data = r2[10] ;
+                               r_bit32_cnt == 0b10110 : w_disp_data = r2[9] ;
+                               r_bit32_cnt == 0b10111 : w_disp_data = r2[8] ;
+                               r_bit32_cnt == 0b11000 : w_disp_data = r2[7] ;
+                               r_bit32_cnt == 0b11001 : w_disp_data = r2[6] ;
+                               r_bit32_cnt == 0b11010 : w_disp_data = r2[5] ;
+                               r_bit32_cnt == 0b11011 : w_disp_data = r2[4] ;
+                               r_bit32_cnt == 0b11100 : w_disp_data = r2[3] ;
+                               r_bit32_cnt == 0b11101 : w_disp_data = r2[2] ;
+                               r_bit32_cnt == 0b11110 : w_disp_data = r2[1] ;
+                               r_bit32_cnt == 0b11111 : {
+                                                                          w_disp_data = r2[0] ;
+                                                                          r_flg := ~r_flg ;
                                }
                        }
                }
-               bit32_cnt++ ;
+               r_bit32_cnt++ ;
        }//disp_data
 }//module end
index 62791b4..efc2f98 100644 (file)
@@ -10,6 +10,7 @@
 \r
 #include "vga_generate.nsl"\r
 #include "exp_ctrl.nsh"\r
+#include "FIFO.nsh"\r
 \r
 #define ONE_SEC 25'd50000000\r
 //#define ONE_SEC 25'd100\r
@@ -37,23 +38,21 @@ module vga_top {
        reg r_Init_flag = 0 ;\r
        reg r_LED[8] = 0 ;\r
        reg r_test_LED = 0 ;\r
-       \r
-       mem line_buff1[80][8] ;\r
-       mem line_buff2[80][8] ;\r
 \r
        func_self fs_vga_sys_init ;\r
 \r
        vga_generate u_VGA ;\r
-       exp_ctrl         U_EXP ;\r
+       exp_ctrl         u_EXP ;\r
+       FIFO             u_FIFO ;\r
        \r
-       o_v_sync        = u_VGA.v_sync_o ;\r
-       o_h_sync        = u_VGA.h_sync_o ;\r
-       o_red           = u_VGA.vga_red_o ;\r
-       o_green         = u_VGA.vga_green_o ;\r
-       o_blue          = u_VGA.vga_blue_o ;\r
+//     o_v_sync        = u_VGA.o_v_sync ;\r
+//     o_h_sync        = u_VGA.o_h_sync ;\r
+//     o_red           = u_VGA.o_vga_red ;\r
+//     o_green         = u_VGA.o_vga_green ;\r
+//     o_blue          = u_VGA.o_vga_blue ;\r
 \r
-       if( u_VGA.req_32dot ) {\r
-               u_VGA.ack_req_32dot( 32'hFFFFFFFF ) ;\r
+       if( u_VGA.fo_req_32dot ) {\r
+               u_VGA.fi_ack_req_32dot( 32'hFFFFFFFF ) ;\r
        }\r
 \r
        {\r
@@ -66,16 +65,17 @@ module vga_top {
                u_VGA.p_reset = r_reset[2] ;\r
                u_VGA.m_clock = r_cnt ;\r
                \r
-               if( U_EXP.foRd_ack ) {\r
+               \r
+               if( u_EXP.foRd_ack ) {\r
                        r_LED := {\r
-//                             U_EXP.oRdata[14],\r
-//                             U_EXP.oRdata[12],\r
-                               U_EXP.oRdata[10],\r
-                               U_EXP.oRdata[8],\r
-                               U_EXP.oRdata[6],\r
-                               U_EXP.oRdata[4],\r
-                               U_EXP.oRdata[2],\r
-                               U_EXP.oRdata[0],\r
+//                             u_EXP.oRdata[14],\r
+//                             u_EXP.oRdata[12],\r
+                               u_EXP.oRdata[10],\r
+                               u_EXP.oRdata[8],\r
+                               u_EXP.oRdata[6],\r
+                               u_EXP.oRdata[4],\r
+                               u_EXP.oRdata[2],\r
+                               u_EXP.oRdata[0],\r
                                r_test_LED,\r
                                r_Init_flag\r
                        } ;\r
@@ -84,7 +84,7 @@ module vga_top {
                if( r_Init_flag ) {\r
                        any {\r
                                r_Sec_cnt == ONE_SEC : {\r
-                                       U_EXP.fiRd_req( r_line_cnt2 ) ;\r
+                                       u_EXP.fiRd_req( r_line_cnt2 ) ;\r
                                        r_Sec_cnt := 0 ;\r
                                        r_test_LED := ~r_test_LED ;\r
                                        any {\r
@@ -103,7 +103,7 @@ module vga_top {
        \r
        func fs_vga_sys_init seq {\r
                for( r_line_cnt:=0; r_line_cnt<16384; r_line_cnt++ ) {\r
-                       U_EXP.fiWr_req( r_line_cnt[13:0], 8'b11110000 ) ;\r
+                       u_EXP.fiWr_req( r_line_cnt[13:0], 8'b11110000 ) ;\r
                        ;\r
                }\r
                \r