-library ieee;\r
-use ieee.std_logic_1164.all;\r
-use ieee.std_logic_unsigned.conv_integer;\r
-\r
-- \r
-- MOTO NES FPGA On GHDL Simulation Environment Virtual Cuicuit Board\r
-- All of the components are assembled and instanciated on this board.\r
-- \r
\r
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+use ieee.std_logic_unsigned.conv_integer;\r
\r
-entity qt_proj_test5 is \r
+entity qt_proj_test5_old is \r
port (\r
\r
signal dbg_cpu_clk : out std_logic;\r
signal red_led : out std_logic; --Test fail\r
\r
base_clk : in std_logic;\r
- base_clk_24mhz : in std_logic;\r
+ base_clk_27mhz : in std_logic;\r
rst_n : in std_logic;\r
h_sync_n : out std_logic;\r
v_sync_n : out std_logic;\r
dram_we_n : out std_logic --Write Enable\r
\r
);\r
-end qt_proj_test5;\r
+end qt_proj_test5_old;\r
\r
-architecture rtl of qt_proj_test5 is\r
+architecture rtl of qt_proj_test5_old is\r
\r
-component sdram_controller \r
+ component clock_divider\r
+ port ( base_clk : in std_logic;\r
+ reset_n : in std_logic;\r
+ cpu_clk : out std_logic;\r
+ ppu_clk : out std_logic;\r
+ mem_clk : out std_logic;\r
+ vga_clk : out std_logic\r
+ );\r
+ end component;\r
+\r
+ component dummy_ppu\r
+ port ( ppu_clk : in std_logic;\r
+ rst_n : in std_logic;\r
+ pos_x : out std_logic_vector (8 downto 0);\r
+ pos_y : out std_logic_vector (8 downto 0);\r
+ nes_r : out std_logic_vector (3 downto 0);\r
+ nes_g : out std_logic_vector (3 downto 0);\r
+ nes_b : out std_logic_vector (3 downto 0)\r
+ );\r
+ end component;\r
+\r
+ component vga_clk_gen\r
+ PORT\r
+ (\r
+ inclk0 : IN STD_LOGIC := '0';\r
+ c0 : OUT STD_LOGIC ;\r
+ locked : OUT STD_LOGIC \r
+ );\r
+ end component;\r
+\r
+signal pos_x : std_logic_vector (8 downto 0);\r
+signal pos_y : std_logic_vector (8 downto 0);\r
+signal nes_r : std_logic_vector (3 downto 0);\r
+signal nes_g : std_logic_vector (3 downto 0);\r
+signal nes_b : std_logic_vector (3 downto 0);\r
+\r
+component vga_ctl\r
+ port ( \r
+ signal dbg_vga_x : out std_logic_vector (9 downto 0);\r
+ signal dbg_vga_y : out std_logic_vector (9 downto 0);\r
+ signal dbg_nes_x : out std_logic_vector(7 downto 0);\r
+ signal dbg_nes_x_old : out std_logic_vector(7 downto 0);\r
+ signal dbg_sw_state : out std_logic_vector(2 downto 0);\r
+ \r
+ signal dbg_f_in : out std_logic_vector(11 downto 0);\r
+ signal dbg_f_out : out std_logic_vector(11 downto 0);\r
+ signal dbg_f_cnt : out std_logic_vector(7 downto 0);\r
+ signal dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful \r
+ : out std_logic;\r
+ signal dbg_bst_cnt : out std_logic_vector(7 downto 0);\r
+\r
+ ppu_clk : in std_logic;\r
+ vga_clk : in std_logic;\r
+ mem_clk : in std_logic;\r
+ rst_n : in std_logic;\r
+ pos_x : in std_logic_vector (8 downto 0);\r
+ pos_y : in std_logic_vector (8 downto 0);\r
+ nes_r : in std_logic_vector (3 downto 0);\r
+ nes_g : in std_logic_vector (3 downto 0);\r
+ nes_b : in std_logic_vector (3 downto 0);\r
+ h_sync_n : out std_logic;\r
+ v_sync_n : out std_logic;\r
+ r : out std_logic_vector(3 downto 0);\r
+ g : out std_logic_vector(3 downto 0);\r
+ b : out std_logic_vector(3 downto 0);\r
+ \r
+ --SDRAM Signals\r
+ wbs_adr_i : out std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
+ wbs_dat_i : out std_logic_vector (15 downto 0); --Data In (16 bits)\r
+ wbs_we_i : out std_logic; --Write Enable\r
+ wbs_tga_i : out std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
+ wbs_cyc_i : out std_logic; --Cycle Command from interface\r
+ wbs_stb_i : out std_logic; --Strobe Command from interface\r
+ wbs_dat_o : in std_logic_vector (15 downto 0); --Data Out (16 bits)\r
+ wbs_stall_o : in std_logic; --Slave is not ready to receive new data\r
+ wbs_err_o : in std_logic; --Error flag: OOR Burst. Burst length is greater that 256-column address\r
+ wbs_ack_o : in std_logic --When Read Burst: DATA bus must be valid in this cycle\r
+ );\r
+end component;\r
+\r
+component sdram_controller\r
generic\r
(\r
reset_polarity_g : std_logic := '0' --When rst = reset_polarity_g, system is in RESET mode\r
cmd_done : out std_logic; --Command has finished (read/write)\r
init_st_o : out std_logic_vector (3 downto 0); --Current init state\r
main_st_o : out std_logic_vector (3 downto 0) --Current main state\r
- );\r
+ ); \r
end component;\r
\r
-component sdram_rw \r
+\r
+component sdram_rw\r
generic(\r
reset_polarity : std_logic := '0' --When rst = reset_polarity, system at RESET\r
);\r
);\r
end component;\r
\r
-component sdram_model\r
- GENERIC (\r
- addr_bits : INTEGER := 12;\r
- data_bits : INTEGER := 16 ;\r
- col_bits : INTEGER := 8\r
- );\r
- PORT (\r
- Dq : inout std_logic_vector (15 downto 0) := (others => 'Z');\r
- Addr : in std_logic_vector (11 downto 0) ;-- := (others => '0');\r
- Ba : in std_logic_vector(1 downto 0);-- := "00";\r
- Clk : in std_logic ;--:= '0';\r
- Cke : in std_logic ;--:= '0';\r
- Cs : in std_logic ;--:= '1';\r
- Ras : in std_logic ;--:= '0';\r
- Cas : in std_logic ;--:= '0';\r
- We : in std_logic ;--:= '0';\r
- Dqm : in std_logic_vector(1 downto 0)-- := (others => 'Z')\r
- );\r
- \r
-END component;\r
+ constant data_size : integer := 8;\r
+ constant addr_size : integer := 16;\r
+ constant size14 : integer := 14;\r
\r
-component vga_clk_gen\r
- PORT\r
- (\r
- inclk0 : IN STD_LOGIC := '0';\r
- c0 : OUT STD_LOGIC ;\r
- locked : OUT STD_LOGIC \r
- );\r
-end component;\r
+ signal cpu_clk : std_logic;\r
+ signal ppu_clk : std_logic;\r
+ signal mem_clk : std_logic;\r
+ signal vga_clk : std_logic;\r
+ signal vga_clk_pll, sdram_clk : std_logic;\r
+ signal pll_locked : std_logic;\r
\r
---Clock and Reset\r
-signal clk_133 : std_logic := '0'; --133 MHz\r
-signal rst : std_logic := '0'; --Reset\r
+ -- Wishbone Slave signals to Read/Write interface\r
+ signal wbs_adr_i : std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
+ signal wbs_dat_i : std_logic_vector (15 downto 0); --Data In (16 bits)\r
+ signal wbs_we_i : std_logic; --Write Enable\r
+ signal wbs_tga_i : std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
+ signal wbs_cyc_i : std_logic; --Cycle Command from interface\r
+ signal wbs_stb_i : std_logic; --Strobe Command from interface\r
+ signal wbs_dat_o : std_logic_vector (15 downto 0); --Data Out (16 bits)\r
+ signal wbs_stall_o : std_logic; --Slave is not ready to receive new data\r
+ signal wbs_err_o : std_logic; --Error flag: OOR Burst. Burst length is greater that 256-column address\r
+ signal wbs_ack_o : std_logic; --When Read Burst: DATA bus must be valid in this cycle\r
+ --When Write Burst: Data has been read from SDRAM and is valid\r
\r
-----SDRAM Signals\r
---signal dram_addr : std_logic_vector (11 downto 0);\r
---signal dram_bank : std_logic_vector (1 downto 0);\r
---signal dram_cas_n : std_logic;\r
---signal dram_cke : std_logic;\r
---signal dram_cs_n : std_logic;\r
---signal dram_dq : std_logic_vector (15 downto 0);\r
---signal dram_ldqm : std_logic;\r
---signal dram_udqm : std_logic;\r
---signal dram_ras_n : std_logic;\r
---signal dram_we_n : std_logic;\r
+ --Debug signals\r
+ signal cmd_ack : std_logic; --Command has been acknowledged\r
+ signal cmd_done : std_logic; --Command has finished (read/write)\r
+ signal init_st_o : std_logic_vector (3 downto 0); --Current init state\r
+ signal main_st_o : std_logic_vector (3 downto 0); --Current main state\r
\r
---Read / Write signals to SDRAM\r
-signal addr : std_logic_vector (21 downto 0);\r
-signal dat_tb2ram : std_logic_vector (15 downto 0);\r
-signal dat_ram2tb : std_logic_vector (15 downto 0);\r
-signal we_i : std_logic;\r
-signal stall_i : std_logic;\r
-signal cyc_o : std_logic;\r
-signal err_o : std_logic;\r
-signal ack_i : std_logic;\r
-signal stb_o : std_logic;\r
-signal burst_len : std_logic_vector (7 downto 0);\r
-signal cmd_ack : std_logic;\r
-signal cmd_done : std_logic;\r
+ signal writing : std_logic; --'1' when writing, '0' when reading\r
+ signal mem_val_o : std_logic_vector (15 downto 0); --Memory value written / compared to SDRAM\r
+ signal sdram_val_o : std_logic_vector (15 downto 0); --Read value from SDRAM\r
+ signal cur_st_o : std_logic_vector (3 downto 0); --Current state\r
+ \r
+begin\r
\r
---LEDs\r
---signal green_led : std_logic;\r
---signal red_led : std_logic;\r
-signal writing : std_logic;\r
\r
---States\r
-signal init_st_o : std_logic_vector (3 downto 0);\r
-signal main_st_o : std_logic_vector (3 downto 0);\r
+ dbg_mem_clk <= mem_clk ;\r
+ dbg_cpu_clk <= cpu_clk;\r
+ dbg_ppu_clk <= ppu_clk;\r
\r
---Debug\r
-signal cur_st_o : std_logic_vector (3 downto 0);\r
+ dbg_pos_x <= pos_x ;\r
+ dbg_pos_y <= pos_y ;\r
+ dbg_nes_r <= nes_r ;\r
+ dbg_nes_g <= nes_g ;\r
+ dbg_nes_b <= nes_b ;\r
\r
-signal sdram_clk, pll_locked : std_logic;\r
-begin\r
- --Clock process\r
- vga_clk_gen_inst : vga_clk_gen\r
- PORT map\r
- (\r
- --sdram_clk = 133.3333 MHz.\r
- base_clk, sdram_clk, pll_locked\r
- );\r
- clk_proc:\r
- clk_133 <= sdram_clk;\r
- dram_clk <= sdram_clk;\r
- dbg_mem_clk <= sdram_clk;\r
- \r
- rst_proc:\r
- rst <= rst_n;\r
- \r
- --Componenets:\r
- sdr_ctrl : sdram_controller generic map (\r
- reset_polarity_g => '0'\r
- )\r
- port map(\r
- clk_i => clk_133,\r
- rst => rst,\r
- pll_locked => pll_locked,\r
- \r
- dram_addr => dram_addr, \r
- dram_bank => dram_bank, \r
- dram_cas_n => dram_cas_n, \r
- dram_cke => dram_cke, \r
- dram_cs_n => dram_cs_n, \r
- dram_dq => dram_dq, \r
- dram_ldqm => dram_ldqm, \r
- dram_udqm => dram_udqm, \r
- dram_ras_n => dram_ras_n, \r
- dram_we_n => dram_we_n, \r
- \r
- wbs_adr_i => addr, \r
- wbs_dat_i => dat_tb2ram, \r
- wbs_we_i => we_i, \r
- wbs_tga_i => burst_len, \r
- wbs_cyc_i => cyc_o,\r
- wbs_stb_i => stb_o, \r
- wbs_dat_o => dat_ram2tb,\r
- wbs_stall_o => stall_i,\r
- wbs_err_o => err_o,\r
- wbs_ack_o => ack_i,\r
- \r
- cmd_ack => cmd_ack,\r
- cmd_done => cmd_done,\r
- init_st_o => init_st_o,\r
- main_st_o => main_st_o\r
- );\r
- \r
- sdr_rw : sdram_rw port map (\r
- clk_i => clk_133,\r
- rst => rst,\r
- \r
- wbm_adr_o => addr,\r
- wbm_dat_i => dat_ram2tb,\r
- wbm_dat_o => dat_tb2ram,\r
- wbm_we_i => we_i,\r
- wbm_tga_o => burst_len,\r
- wbm_cyc_o => cyc_o,\r
- wbm_stb_o => stb_o,\r
- wbm_stall_i => stall_i,\r
- wbm_ack_i => ack_i,\r
+ dbg_wbs_adr_i <= wbs_adr_i ;\r
+ dbg_wbs_dat_i <= wbs_dat_i ;\r
+ dbg_wbs_we_i <= wbs_we_i ;\r
+ dbg_wbs_tga_i <= wbs_tga_i ;\r
+ dbg_wbs_cyc_i <= wbs_cyc_i ;\r
+ dbg_wbs_stb_i <= wbs_stb_i ;\r
\r
- cmd_ack => cmd_ack,\r
- cmd_done => cmd_done,\r
- green_led => green_led,\r
- red_led => red_led,\r
- writing => writing\r
- );\r
--- sdram_model_inst : sdram_model port map (\r
--- Dq => dram_dq, \r
--- Addr => dram_addr,\r
--- Ba => dram_bank,\r
--- Clk => clk_133,\r
--- Cke => dram_cke,\r
--- Cs => dram_cs_n,\r
--- Ras => dram_ras_n,\r
--- Cas => dram_cas_n,\r
--- We => dram_we_n,\r
--- Dqm(0) => dram_ldqm,\r
--- Dqm(1) => dram_udqm\r
--- );\r
- \r
-end architecture rtl;\r
-\r
---------------------------------------------------------------------\r
---------------------------------------------------------------------\r
---------------------------------------------------------------------\r
---------------------------------------------------------------------\r
---------------------------------------------------------------------\r
-library ieee;\r
-use ieee.std_logic_1164.all;\r
-use ieee.std_logic_unsigned.conv_integer;\r
-\r
-entity qt_proj_test5_old is \r
- port (\r
-\r
- signal dbg_cpu_clk : out std_logic;\r
- signal dbg_ppu_clk : out std_logic;\r
- signal dbg_mem_clk : out std_logic;\r
- signal dbg_addr : out std_logic_vector( 16 - 1 downto 0);\r
- signal dbg_d_io : out std_logic_vector( 8 - 1 downto 0);\r
-\r
--- signal dbg_status : out std_logic_vector(7 downto 0);\r
--- signal dbg_dec_oe_n : out std_logic;\r
--- signal dbg_dec_val : out std_logic_vector (7 downto 0);\r
--- signal dbg_int_dbus : out std_logic_vector (7 downto 0);\r
--- signal dbg_status_val : out std_logic_vector (7 downto 0);\r
--- signal dbg_stat_we_n : out std_logic;\r
- \r
----monitor inside cpu\r
--- signal dbg_d1, dbg_d2, dbg_d_out: out std_logic_vector (7 downto 0);\r
--- signal dbg_ea_carry, dbg_carry_clr_n : out std_logic;\r
--- signal dbg_gate_n : out std_logic;\r
-\r
- signal dbg_pos_x : out std_logic_vector (8 downto 0);\r
- signal dbg_pos_y : out std_logic_vector (8 downto 0);\r
- signal dbg_nes_r : out std_logic_vector (3 downto 0);\r
- signal dbg_nes_g : out std_logic_vector (3 downto 0);\r
- signal dbg_nes_b : out std_logic_vector (3 downto 0);\r
\r
- signal dbg_wbs_adr_i : out std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
- signal dbg_wbs_dat_i : out std_logic_vector (15 downto 0); --Data In (16 bits)\r
- signal dbg_wbs_we_i : out std_logic; --Write Enable\r
- signal dbg_wbs_tga_i : out std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
- signal dbg_wbs_cyc_i : out std_logic; --Cycle Command from interface\r
- signal dbg_wbs_stb_i : out std_logic; --Strobe Command from interface\r
-\r
- signal dbg_vga_x : out std_logic_vector (9 downto 0);\r
- signal dbg_vga_y : out std_logic_vector (9 downto 0);\r
- signal dbg_nes_x : out std_logic_vector(7 downto 0);\r
- signal dbg_nes_x_old : out std_logic_vector(7 downto 0);\r
- signal dbg_sw_state : out std_logic_vector(2 downto 0);\r
-\r
- signal dbg_f_in : out std_logic_vector(11 downto 0);\r
- signal dbg_f_out : out std_logic_vector(11 downto 0);\r
- signal dbg_f_cnt : out std_logic_vector(7 downto 0);\r
- signal dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful \r
- : out std_logic;\r
- signal dbg_bst_cnt : out std_logic_vector(7 downto 0);\r
- \r
- signal green_led : out std_logic; --Test passed\r
- signal red_led : out std_logic; --Test fail\r
-\r
- base_clk : in std_logic;\r
- base_clk_27mhz : in std_logic;\r
- rst_n : in std_logic;\r
- h_sync_n : out std_logic;\r
- v_sync_n : out std_logic;\r
- r : out std_logic_vector(3 downto 0);\r
- g : out std_logic_vector(3 downto 0);\r
- b : out std_logic_vector(3 downto 0);\r
\r
- --SDRAM Signals\r
- dram_addr : out std_logic_vector (11 downto 0); --Address (12 bit)\r
- dram_bank : out std_logic_vector (1 downto 0); --Bank\r
- dram_cas_n : out std_logic; --Column Address is being transmitted\r
- dram_cke : out std_logic; --Clock Enable\r
- dram_clk : out std_logic; --Clock\r
- dram_cs_n : out std_logic; --Chip Select (Here - Mask commands)\r
- dram_dq : inout std_logic_vector (15 downto 0); --Data in / Data out\r
- dram_ldqm : out std_logic; --Byte masking\r
- dram_udqm : out std_logic; --Byte masking\r
- dram_ras_n : out std_logic; --Row Address is being transmitted\r
- dram_we_n : out std_logic --Write Enable\r
+ --ppu/cpu clock generator\r
+ clock_inst : clock_divider port map \r
+ (base_clk, rst_n, cpu_clk, ppu_clk, mem_clk, vga_clk);\r
\r
+ ppu_inst: dummy_ppu \r
+ port map ( ppu_clk ,\r
+ rst_n ,\r
+ pos_x ,\r
+ pos_y ,\r
+ nes_r ,\r
+ nes_g ,\r
+ nes_b \r
);\r
-end qt_proj_test5_old;\r
-\r
-architecture rtl of qt_proj_test5_old is\r
\r
- component clock_divider\r
- port ( base_clk : in std_logic;\r
- reset_n : in std_logic;\r
- cpu_clk : out std_logic;\r
- ppu_clk : out std_logic;\r
- mem_clk : out std_logic;\r
- vga_clk : out std_logic\r
- );\r
- end component;\r
+-- vga_clk_gen_inst : vga_clk_gen\r
+-- PORT map\r
+-- (\r
+-- --mem_clk_pll = 133.333 MHz.\r
+-- base_clk, vga_clk_pll, sdram_clk, pll_locked\r
+-- );\r
+ --- testbench pll clock..\r
+-- dummy_clock_p: process\r
+-- begin\r
+-- sdram_clk <= '1';\r
+-- wait for 6250 ps / 2;\r
+-- sdram_clk <= '0';\r
+-- wait for 6250 ps / 2;\r
+-- end process;\r
\r
- component dummy_ppu\r
- port ( ppu_clk : in std_logic;\r
- rst_n : in std_logic;\r
- pos_x : out std_logic_vector (8 downto 0);\r
- pos_y : out std_logic_vector (8 downto 0);\r
- nes_r : out std_logic_vector (3 downto 0);\r
- nes_g : out std_logic_vector (3 downto 0);\r
- nes_b : out std_logic_vector (3 downto 0)\r
- );\r
- end component;\r
+ \r
+-- vga_ctl_inst : vga_ctl\r
+-- port map ( \r
+-- dbg_vga_x ,\r
+-- dbg_vga_y ,\r
+-- dbg_nes_x ,\r
+-- dbg_nes_x_old ,\r
+-- dbg_sw_state ,\r
+-- \r
+-- dbg_f_in ,\r
+-- dbg_f_out ,\r
+-- dbg_f_cnt ,\r
+-- dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful ,\r
+-- dbg_bst_cnt ,\r
+--\r
+-- ppu_clk ,\r
+-- --vga_clk_pll, \r
+-- --ppu_clk ,\r
+-- vga_clk ,\r
+-- mem_clk ,\r
+-- rst_n ,\r
+-- pos_x ,\r
+-- pos_y ,\r
+-- nes_r ,\r
+-- nes_g ,\r
+-- nes_b ,\r
+-- h_sync_n ,\r
+-- v_sync_n ,\r
+-- r ,\r
+-- g ,\r
+-- b ,\r
+-- \r
+-- --SDRAM Signals\r
+-- wbs_adr_i ,\r
+-- wbs_dat_i ,\r
+-- wbs_we_i ,\r
+-- wbs_tga_i ,\r
+-- wbs_cyc_i ,\r
+-- wbs_stb_i ,\r
+-- wbs_dat_o ,\r
+-- wbs_stall_o ,\r
+-- wbs_err_o ,\r
+-- wbs_ack_o \r
+-- );\r
\r
- component vga_clk_gen\r
- PORT\r
- (\r
- inclk0 : IN STD_LOGIC := '0';\r
- c0 : OUT STD_LOGIC ;\r
- locked : OUT STD_LOGIC \r
- );\r
- end component;\r
+ test_sdram_inst : sdram_rw\r
+ port map ( \r
+ mem_clk,\r
+ rst_n,\r
+ \r
+ --Signals to SDRAM controller\r
+ wbs_adr_i,\r
+ wbs_dat_o,\r
+ wbs_dat_i,\r
+ wbs_we_i,\r
+ wbs_tga_i,\r
+ wbs_cyc_i,\r
+ wbs_stb_i,\r
+ wbs_stall_o,\r
+ wbs_ack_o,\r
+ \r
+ --Debug and test signals\r
+ cmd_ack ,\r
+ cmd_done ,\r
+ green_led ,\r
+ red_led ,\r
+ writing ,\r
+ mem_val_o ,\r
+ sdram_val_o ,\r
+ cur_st_o \r
+ );\r
\r
-signal pos_x : std_logic_vector (8 downto 0);\r
-signal pos_y : std_logic_vector (8 downto 0);\r
-signal nes_r : std_logic_vector (3 downto 0);\r
-signal nes_g : std_logic_vector (3 downto 0);\r
-signal nes_b : std_logic_vector (3 downto 0);\r
+ dram_clk <= not mem_clk;\r
+ sdram_clk <= not mem_clk;\r
+sdram_ctl_inst : sdram_controller\r
+ port map (\r
+ --Clocks and Reset \r
+ sdram_clk, \r
+ rst_n, \r
+ '0',\r
+ \r
+ --SDRAM Signals\r
+ dram_addr ,\r
+ dram_bank ,\r
+ dram_cas_n ,\r
+ dram_cke ,\r
+ dram_cs_n ,\r
+ dram_dq ,\r
+ dram_ldqm ,\r
+ dram_udqm ,\r
+ dram_ras_n ,\r
+ dram_we_n ,\r
+ \r
+ -- Wishbone Slave signals to Read/Write interface\r
+ wbs_adr_i ,\r
+ wbs_dat_i ,\r
+ wbs_we_i ,\r
+ wbs_tga_i ,\r
+ wbs_cyc_i ,\r
+ wbs_stb_i ,\r
+ wbs_dat_o ,\r
+ wbs_stall_o ,\r
+ wbs_err_o ,\r
+ wbs_ack_o ,\r
\r
-component vga_ctl\r
- port ( \r
- signal dbg_vga_x : out std_logic_vector (9 downto 0);\r
- signal dbg_vga_y : out std_logic_vector (9 downto 0);\r
- signal dbg_nes_x : out std_logic_vector(7 downto 0);\r
- signal dbg_nes_x_old : out std_logic_vector(7 downto 0);\r
- signal dbg_sw_state : out std_logic_vector(2 downto 0);\r
+ --Debug signals\r
+ cmd_ack ,\r
+ cmd_done ,\r
+ init_st_o ,\r
+ main_st_o \r
+ ); \r
\r
- signal dbg_f_in : out std_logic_vector(11 downto 0);\r
- signal dbg_f_out : out std_logic_vector(11 downto 0);\r
- signal dbg_f_cnt : out std_logic_vector(7 downto 0);\r
- signal dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful \r
- : out std_logic;\r
- signal dbg_bst_cnt : out std_logic_vector(7 downto 0);\r
-\r
- ppu_clk : in std_logic;\r
- vga_clk : in std_logic;\r
- mem_clk : in std_logic;\r
- rst_n : in std_logic;\r
- pos_x : in std_logic_vector (8 downto 0);\r
- pos_y : in std_logic_vector (8 downto 0);\r
- nes_r : in std_logic_vector (3 downto 0);\r
- nes_g : in std_logic_vector (3 downto 0);\r
- nes_b : in std_logic_vector (3 downto 0);\r
- h_sync_n : out std_logic;\r
- v_sync_n : out std_logic;\r
- r : out std_logic_vector(3 downto 0);\r
- g : out std_logic_vector(3 downto 0);\r
- b : out std_logic_vector(3 downto 0);\r
- \r
- --SDRAM Signals\r
- wbs_adr_i : out std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
- wbs_dat_i : out std_logic_vector (15 downto 0); --Data In (16 bits)\r
- wbs_we_i : out std_logic; --Write Enable\r
- wbs_tga_i : out std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
- wbs_cyc_i : out std_logic; --Cycle Command from interface\r
- wbs_stb_i : out std_logic; --Strobe Command from interface\r
- wbs_dat_o : in std_logic_vector (15 downto 0); --Data Out (16 bits)\r
- wbs_stall_o : in std_logic; --Slave is not ready to receive new data\r
- wbs_err_o : in std_logic; --Error flag: OOR Burst. Burst length is greater that 256-column address\r
- wbs_ack_o : in std_logic --When Read Burst: DATA bus must be valid in this cycle\r
- );\r
-end component;\r
-\r
-component sdram_controller\r
- generic\r
- (\r
- reset_polarity_g : std_logic := '0' --When rst = reset_polarity_g, system is in RESET mode\r
- );\r
- port (\r
- --Clocks and Reset \r
- clk_i : in std_logic; --Wishbone input clock\r
- rst : in std_logic; --Reset\r
- pll_locked : in std_logic; --PLL Locked indication, for CKE (Clock Enable) signal to SDRAM\r
- \r
- --SDRAM Signals\r
- dram_addr : out std_logic_vector (11 downto 0); --Address (12 bit)\r
- dram_bank : out std_logic_vector (1 downto 0); --Bank\r
- dram_cas_n : out std_logic; --Column Address is being transmitted\r
- dram_cke : out std_logic; --Clock Enable\r
- dram_cs_n : out std_logic; --Chip Select (Here - Mask commands)\r
- dram_dq : inout std_logic_vector (15 downto 0); --Data in / Data out\r
- dram_ldqm : out std_logic; --Byte masking\r
- dram_udqm : out std_logic; --Byte masking\r
- dram_ras_n : out std_logic; --Row Address is being transmitted\r
- dram_we_n : out std_logic; --Write Enable\r
- \r
- -- Wishbone Slave signals to Read/Write interface\r
- wbs_adr_i : in std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
- wbs_dat_i : in std_logic_vector (15 downto 0); --Data In (16 bits)\r
- wbs_we_i : in std_logic; --Write Enable\r
- wbs_tga_i : in std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
- wbs_cyc_i : in std_logic; --Cycle Command from interface\r
- wbs_stb_i : in std_logic; --Strobe Command from interface\r
- wbs_dat_o : out std_logic_vector (15 downto 0); --Data Out (16 bits)\r
- wbs_stall_o : out std_logic; --Slave is not ready to receive new data\r
- wbs_err_o : out std_logic; --Error flag: OOR Burst. Burst length is greater that 256-column address\r
- wbs_ack_o : out std_logic; --When Read Burst: DATA bus must be valid in this cycle\r
- --When Write Burst: Data has been read from SDRAM and is valid\r
+ -- signal addr : std_logic_vector( addr_size - 1 downto 0);\r
+-- signal d_io : std_logic_vector( data_size - 1 downto 0);\r
+--\r
+--component counter_register\r
+-- generic (\r
+-- dsize : integer := 8;\r
+-- inc : integer := 1\r
+-- );\r
+-- port ( clk : in std_logic;\r
+-- rst_n : in std_logic;\r
+-- ce_n : in std_logic;\r
+-- we_n : in std_logic;\r
+-- d : in std_logic_vector(dsize - 1 downto 0);\r
+-- q : out std_logic_vector(dsize - 1 downto 0)\r
+-- );\r
+--end component;\r
+--\r
+--component prg_rom\r
+-- generic (abus_size : integer := 15; dbus_size : integer := 8);\r
+-- port ( clk : in std_logic;\r
+-- ce_n : in std_logic; --select pin active low.\r
+-- addr : in std_logic_vector (abus_size - 1 downto 0);\r
+-- data : inout std_logic_vector (dbus_size - 1 downto 0)\r
+-- );\r
+--end component;\r
+--\r
+--component processor_status \r
+-- generic (\r
+-- dsize : integer := 8\r
+-- );\r
+-- port ( \r
+-- signal dbg_dec_oe_n : out std_logic;\r
+-- signal dbg_dec_val : out std_logic_vector (dsize - 1 downto 0);\r
+-- signal dbg_int_dbus : out std_logic_vector (dsize - 1 downto 0);\r
+-- signal dbg_status_val : out std_logic_vector (7 downto 0);\r
+-- signal dbg_stat_we_n : out std_logic;\r
+-- \r
+-- clk : in std_logic;\r
+-- res_n : in std_logic;\r
+-- dec_oe_n : in std_logic;\r
+-- bus_oe_n : in std_logic;\r
+-- set_flg_n : in std_logic;\r
+-- flg_val : in std_logic;\r
+-- load_bus_all_n : in std_logic;\r
+-- load_bus_nz_n : in std_logic;\r
+-- set_from_alu_n : in std_logic;\r
+-- alu_n : in std_logic;\r
+-- alu_v : in std_logic;\r
+-- alu_z : in std_logic;\r
+-- alu_c : in std_logic;\r
+-- stat_c : out std_logic;\r
+-- dec_val : inout std_logic_vector (dsize - 1 downto 0);\r
+-- int_dbus : inout std_logic_vector (dsize - 1 downto 0)\r
+-- );\r
+--end component;\r
+--\r
+-- ---status register\r
+-- signal status_reg, int_d_bus : std_logic_vector (7 downto 0);\r
+-- signal stat_dec_oe_n : std_logic;\r
+-- signal stat_bus_oe_n : std_logic;\r
+-- signal stat_set_flg_n : std_logic;\r
+-- signal stat_flg : std_logic;\r
+-- signal stat_bus_all_n : std_logic;\r
+-- signal stat_bus_nz_n : std_logic;\r
+-- signal stat_alu_we_n : std_logic;\r
+-- signal alu_n : std_logic;\r
+-- signal alu_z : std_logic;\r
+-- signal alu_c : std_logic;\r
+-- signal alu_v : std_logic;\r
+-- signal stat_c : std_logic;\r
+-- signal trig_clk : std_logic;\r
+-- \r
+-- \r
+-- \r
+-- component alu_test\r
+-- port ( \r
+-- d1 : in std_logic_vector(7 downto 0);\r
+-- d2 : in std_logic_vector(7 downto 0);\r
+-- d_out : out std_logic_vector(7 downto 0);\r
+-- carry_clr_n : in std_logic;\r
+-- ea_carry : out std_logic\r
+-- );\r
+--end component;\r
+--\r
+-- signal d1, d2, d_out : std_logic_vector (7 downto 0);\r
+-- signal ea_carry, gate_n : std_logic;\r
+-- signal carry_clr_n : std_logic;\r
\r
- --Debug signals\r
- cmd_ack : out std_logic; --Command has been acknowledged\r
- cmd_done : out std_logic; --Command has finished (read/write)\r
- init_st_o : out std_logic_vector (3 downto 0); --Current init state\r
- main_st_o : out std_logic_vector (3 downto 0) --Current main state\r
- ); \r
-end component;\r
\r
+ \r
+ \r
+-- trig_clk <= not cpu_clk;\r
+--\r
+-- pcl_inst : counter_register generic map (16) port map\r
+-- (cpu_clk, rst_n, '0', '1', (others => '0'), addr(15 downto 0));\r
+--\r
+-- rom_inst : prg_rom generic map (12, 8) port map\r
+-- (base_clk, '0', addr(11 downto 0), d_io);\r
+--\r
+-- dbg_addr <= addr;\r
+-- dbg_d_io <= d_io;\r
+--\r
+-- dbg_d1 <= d1;\r
+-- dbg_d2 <= d2;\r
+-- dbg_d_out <= d_out;\r
+-- dbg_ea_carry <= ea_carry;\r
+-- dbg_carry_clr_n <= carry_clr_n;\r
+-- dbg_gate_n <= gate_n;\r
+-- \r
+-- dummy_alu : alu_test\r
+-- port map ( \r
+-- d1, d2, d_out, carry_clr_n , ea_carry\r
+-- );\r
+--\r
+-- gate_n <= not ea_carry;\r
+-- dec_test_p : process (rst_n, ea_carry, trig_clk)\r
+-- begin\r
+-- if (rst_n = '0') then\r
+-- d1 <= "00000000";\r
+-- d2 <= "00000000";\r
+-- carry_clr_n <= '0';\r
+-- --gate_n <= '1';\r
+---- elsif (ea_carry = '1') then\r
+---- gate_n <= '0';\r
+---- carry_clr_n <= '0';\r
+-- elsif (rising_edge(trig_clk)) then\r
+-- if (addr(5 downto 0) = "000001") then\r
+-- --addr=01\r
+-- carry_clr_n <= '1';\r
+-- d1 <= "00010011";\r
+-- d2 <= "01000111";\r
+-- --gate_n <= '1';\r
+-- elsif (addr(5 downto 0) = "000010") then\r
+-- --addr=02\r
+-- carry_clr_n <= '1';\r
+-- d1 <= "00110011";\r
+-- d2 <= "11001111";\r
+-- --gate_n <= '1';\r
+-- elsif (addr(5 downto 0) = "000011") then\r
+-- --addr=03\r
+-- carry_clr_n <= '1';\r
+-- d1 <= "00001010";\r
+-- d2 <= "01011001";\r
+-- --gate_n <= '1';\r
+-- elsif (addr(5 downto 0) = "000100") then\r
+-- --addr=04\r
+-- carry_clr_n <= '1';\r
+-- d1 <= "10001010";\r
+-- d2 <= "10011001";\r
+-- --gate_n <= '1';\r
+-- else\r
+-- carry_clr_n <= '1';\r
+-- d1 <= "00000000";\r
+-- d2 <= "00000000";\r
+-- --gate_n <= '1';\r
+-- end if;\r
+-- end if;\r
+-- end process;\r
+--\r
+--\r
+-- --status register\r
+-- status_register : processor_status generic map (8) \r
+-- port map (\r
+-- dbg_dec_oe_n,\r
+-- dbg_dec_val,\r
+-- dbg_int_dbus,\r
+-- dbg_status_val,\r
+-- dbg_stat_we_n ,\r
+-- trig_clk , rst_n, \r
+-- stat_dec_oe_n, stat_bus_oe_n, \r
+-- stat_set_flg_n, stat_flg, stat_bus_all_n, stat_bus_nz_n, \r
+-- stat_alu_we_n, alu_n, alu_v, alu_z, alu_c, stat_c,\r
+-- status_reg, int_d_bus);\r
+--\r
+-- dbg_status <= status_reg;\r
+-- status_test_p : process (addr)\r
+-- begin\r
+-- if (addr(5 downto 0) = "000010") then\r
+-- --addr=02\r
+-- --set status(7) = '1'\r
+-- stat_dec_oe_n <= '1';\r
+-- stat_bus_oe_n <= '1';\r
+-- stat_set_flg_n <= '0';\r
+-- stat_flg <= '1';\r
+-- stat_bus_all_n <= '1';\r
+-- stat_bus_nz_n <= '1'; \r
+-- stat_alu_we_n <= '1';\r
+-- status_reg <= "01000000";\r
+-- int_d_bus <= "00000000";\r
+--\r
+-- elsif (addr(5 downto 0) = "000100") then\r
+-- --addr=04\r
+-- --set status(2) = '0'\r
+-- stat_dec_oe_n <= '1';\r
+-- stat_bus_oe_n <= '1';\r
+-- stat_set_flg_n <= '0';\r
+-- stat_flg <= '0';\r
+-- stat_bus_all_n <= '1';\r
+-- stat_bus_nz_n <= '1'; \r
+-- stat_alu_we_n <= '1';\r
+-- status_reg <= "00000100";\r
+-- int_d_bus <= "00000000";\r
+--\r
+-- elsif (addr(5 downto 0) = "000110") then\r
+-- --addr=06\r
+-- --set nz from bus, n=1\r
+-- stat_dec_oe_n <= '1';\r
+-- stat_bus_oe_n <= '1';\r
+-- stat_set_flg_n <= '1';\r
+-- stat_flg <= '0';\r
+-- stat_bus_all_n <= '1';\r
+-- stat_bus_nz_n <= '0'; \r
+-- stat_alu_we_n <= '1';\r
+-- status_reg <= (others => 'Z');\r
+-- int_d_bus <= "10000000";\r
+--\r
+-- elsif (addr(5 downto 0) = "001000") then\r
+-- --addr=08\r
+-- --set nz from bus, z=1\r
+-- stat_dec_oe_n <= '1';\r
+-- stat_bus_oe_n <= '1';\r
+-- stat_set_flg_n <= '1';\r
+-- stat_flg <= '0';\r
+-- stat_bus_all_n <= '1';\r
+-- stat_bus_nz_n <= '0'; \r
+-- stat_alu_we_n <= '1';\r
+-- status_reg <= (others => 'Z');\r
+-- int_d_bus <= "00000000";\r
+--\r
+-- else\r
+-- stat_dec_oe_n <= '0';\r
+-- stat_bus_oe_n <= '1';\r
+-- stat_set_flg_n <= '1';\r
+-- stat_flg <= '1';\r
+-- stat_bus_all_n <= '1';\r
+-- stat_bus_nz_n <= '1'; \r
+-- stat_alu_we_n <= '1';\r
+-- status_reg <= (others => 'Z');\r
+-- int_d_bus <= (others => 'Z');\r
+-- end if;\r
+-- end process;\r
\r
-component sdram_rw\r
- generic(\r
- reset_polarity : std_logic := '0' --When rst = reset_polarity, system at RESET\r
- );\r
- port(\r
- --Clock and Reset\r
- clk_i : in std_logic; --WISHBONE Clock\r
- rst : in std_logic; --RESET\r
- \r
- --Signals to SDRAM controller\r
- wbm_adr_o : out std_logic_vector (21 downto 0); --Address to read from / write to\r
- wbm_dat_i : in std_logic_vector (15 downto 0); --Data out (to SDRAM)\r
- wbm_dat_o : out std_logic_vector (15 downto 0); --Data in (from SDRAM)\r
- wbm_we_i : out std_logic; --'1' - Write, '0' - Read\r
- wbm_tga_o : out std_logic_vector (7 downto 0); --Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
- wbm_cyc_o : out std_logic; --Transmit command to SDRAM controller\r
- wbm_stb_o : out std_logic; --Transmit command to SDRAM controller\r
- wbm_stall_i : in std_logic; --When '1', write data to SDRAM\r
- wbm_ack_i : in std_logic; --when '1', data is ready to be read from SDRAM\r
- \r
- --Debug and test signals\r
- cmd_ack : in std_logic; --Command has been acknowledged by SDRAM controller\r
- cmd_done : in std_logic; --Command has finished (read/write)\r
- green_led : out std_logic; --Test passed\r
- red_led : out std_logic; --Test fail\r
- writing : out std_logic; --'1' when writing, '0' when reading\r
- mem_val_o : out std_logic_vector (15 downto 0); --Memory value written / compared to SDRAM\r
- sdram_val_o : out std_logic_vector (15 downto 0); --Read value from SDRAM\r
- cur_st_o : out std_logic_vector (3 downto 0) --Current state\r
- );\r
-end component;\r
+end rtl;\r
\r
- constant data_size : integer := 8;\r
- constant addr_size : integer := 16;\r
- constant size14 : integer := 14;\r
\r
- signal cpu_clk : std_logic;\r
- signal ppu_clk : std_logic;\r
- signal mem_clk : std_logic;\r
- signal vga_clk : std_logic;\r
- signal vga_clk_pll, sdram_clk : std_logic;\r
- signal pll_locked : std_logic;\r
\r
- -- Wishbone Slave signals to Read/Write interface\r
- signal wbs_adr_i : std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
- signal wbs_dat_i : std_logic_vector (15 downto 0); --Data In (16 bits)\r
- signal wbs_we_i : std_logic; --Write Enable\r
- signal wbs_tga_i : std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
- signal wbs_cyc_i : std_logic; --Cycle Command from interface\r
- signal wbs_stb_i : std_logic; --Strobe Command from interface\r
- signal wbs_dat_o : std_logic_vector (15 downto 0); --Data Out (16 bits)\r
- signal wbs_stall_o : std_logic; --Slave is not ready to receive new data\r
- signal wbs_err_o : std_logic; --Error flag: OOR Burst. Burst length is greater that 256-column address\r
- signal wbs_ack_o : std_logic; --When Read Burst: DATA bus must be valid in this cycle\r
- --When Write Burst: Data has been read from SDRAM and is valid\r
\r
- --Debug signals\r
- signal cmd_ack : std_logic; --Command has been acknowledged\r
- signal cmd_done : std_logic; --Command has finished (read/write)\r
- signal init_st_o : std_logic_vector (3 downto 0); --Current init state\r
- signal main_st_o : std_logic_vector (3 downto 0); --Current main state\r
\r
- signal writing : std_logic; --'1' when writing, '0' when reading\r
- signal mem_val_o : std_logic_vector (15 downto 0); --Memory value written / compared to SDRAM\r
- signal sdram_val_o : std_logic_vector (15 downto 0); --Read value from SDRAM\r
- signal cur_st_o : std_logic_vector (3 downto 0); --Current state\r
- \r
-begin\r
\r
\r
- dbg_mem_clk <= mem_clk ;\r
- dbg_cpu_clk <= cpu_clk;\r
- dbg_ppu_clk <= ppu_clk;\r
+---------------------------------------------------------------\r
+---------------------------------------------------------------\r
+---------------------------------------------------------------\r
+------------- SDRAM TEST IMAGE ---------------\r
+---------------------------------------------------------------\r
+---------------------------------------------------------------\r
+---------------------------------------------------------------\r
\r
- dbg_pos_x <= pos_x ;\r
- dbg_pos_y <= pos_y ;\r
- dbg_nes_r <= nes_r ;\r
- dbg_nes_g <= nes_g ;\r
- dbg_nes_b <= nes_b ;\r
\r
- dbg_wbs_adr_i <= wbs_adr_i ;\r
- dbg_wbs_dat_i <= wbs_dat_i ;\r
- dbg_wbs_we_i <= wbs_we_i ;\r
- dbg_wbs_tga_i <= wbs_tga_i ;\r
- dbg_wbs_cyc_i <= wbs_cyc_i ;\r
- dbg_wbs_stb_i <= wbs_stb_i ;\r
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+use ieee.std_logic_unsigned.conv_integer;\r
\r
+entity qt_proj_test5 is \r
+ port (\r
\r
+ signal dbg_cpu_clk : out std_logic;\r
+ signal dbg_ppu_clk : out std_logic;\r
+ signal dbg_mem_clk : out std_logic;\r
+ signal dbg_addr : out std_logic_vector( 16 - 1 downto 0);\r
+ signal dbg_d_io : out std_logic_vector( 8 - 1 downto 0);\r
\r
- --ppu/cpu clock generator\r
- clock_inst : clock_divider port map \r
- (base_clk, rst_n, cpu_clk, ppu_clk, mem_clk, vga_clk);\r
+ signal dbg_pos_x : out std_logic_vector (8 downto 0);\r
+ signal dbg_pos_y : out std_logic_vector (8 downto 0);\r
+ signal dbg_nes_r : out std_logic_vector (3 downto 0);\r
+ signal dbg_nes_g : out std_logic_vector (3 downto 0);\r
+ signal dbg_nes_b : out std_logic_vector (3 downto 0);\r
\r
- ppu_inst: dummy_ppu \r
- port map ( ppu_clk ,\r
- rst_n ,\r
- pos_x ,\r
- pos_y ,\r
- nes_r ,\r
- nes_g ,\r
- nes_b \r
- );\r
+ signal dbg_wbs_adr_i : out std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
+ signal dbg_wbs_dat_i : out std_logic_vector (15 downto 0); --Data In (16 bits)\r
+ signal dbg_wbs_we_i : out std_logic; --Write Enable\r
+ signal dbg_wbs_tga_i : out std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
+ signal dbg_wbs_cyc_i : out std_logic; --Cycle Command from interface\r
+ signal dbg_wbs_stb_i : out std_logic; --Strobe Command from interface\r
\r
--- vga_clk_gen_inst : vga_clk_gen\r
--- PORT map\r
--- (\r
--- --mem_clk_pll = 133.333 MHz.\r
--- base_clk, vga_clk_pll, sdram_clk, pll_locked\r
--- );\r
- --- testbench pll clock..\r
--- dummy_clock_p: process\r
--- begin\r
--- sdram_clk <= '1';\r
--- wait for 6250 ps / 2;\r
--- sdram_clk <= '0';\r
--- wait for 6250 ps / 2;\r
--- end process;\r
+ signal dbg_vga_x : out std_logic_vector (9 downto 0);\r
+ signal dbg_vga_y : out std_logic_vector (9 downto 0);\r
+ signal dbg_nes_x : out std_logic_vector(7 downto 0);\r
+ signal dbg_nes_x_old : out std_logic_vector(7 downto 0);\r
+ signal dbg_sw_state : out std_logic_vector(2 downto 0);\r
\r
- \r
--- vga_ctl_inst : vga_ctl\r
--- port map ( \r
--- dbg_vga_x ,\r
--- dbg_vga_y ,\r
--- dbg_nes_x ,\r
--- dbg_nes_x_old ,\r
--- dbg_sw_state ,\r
--- \r
--- dbg_f_in ,\r
--- dbg_f_out ,\r
--- dbg_f_cnt ,\r
--- dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful ,\r
--- dbg_bst_cnt ,\r
---\r
--- ppu_clk ,\r
--- --vga_clk_pll, \r
--- --ppu_clk ,\r
--- vga_clk ,\r
--- mem_clk ,\r
--- rst_n ,\r
--- pos_x ,\r
--- pos_y ,\r
--- nes_r ,\r
--- nes_g ,\r
--- nes_b ,\r
--- h_sync_n ,\r
--- v_sync_n ,\r
--- r ,\r
--- g ,\r
--- b ,\r
--- \r
--- --SDRAM Signals\r
--- wbs_adr_i ,\r
--- wbs_dat_i ,\r
--- wbs_we_i ,\r
--- wbs_tga_i ,\r
--- wbs_cyc_i ,\r
--- wbs_stb_i ,\r
--- wbs_dat_o ,\r
--- wbs_stall_o ,\r
--- wbs_err_o ,\r
--- wbs_ack_o \r
--- );\r
+ signal dbg_f_in : out std_logic_vector(11 downto 0);\r
+ signal dbg_f_out : out std_logic_vector(11 downto 0);\r
+ signal dbg_f_cnt : out std_logic_vector(7 downto 0);\r
+ signal dbg_f_rd, dbg_f_wr, dbg_f_emp, dbg_f_ful \r
+ : out std_logic;\r
+ signal dbg_bst_cnt : out std_logic_vector(7 downto 0);\r
+ \r
+ signal green_led : out std_logic; --Test passed\r
+ signal red_led : out std_logic; --Test fail\r
\r
- test_sdram_inst : sdram_rw\r
- port map ( \r
- mem_clk,\r
- rst_n,\r
- \r
- --Signals to SDRAM controller\r
- wbs_adr_i,\r
- wbs_dat_o,\r
- wbs_dat_i,\r
- wbs_we_i,\r
- wbs_tga_i,\r
- wbs_cyc_i,\r
- wbs_stb_i,\r
- wbs_stall_o,\r
- wbs_ack_o,\r
- \r
- --Debug and test signals\r
- cmd_ack ,\r
- cmd_done ,\r
- green_led ,\r
- red_led ,\r
- writing ,\r
- mem_val_o ,\r
- sdram_val_o ,\r
- cur_st_o \r
- );\r
+ base_clk : in std_logic;\r
+ base_clk_24mhz : in std_logic;\r
+ rst_n : in std_logic;\r
+ h_sync_n : out std_logic;\r
+ v_sync_n : out std_logic;\r
+ r : out std_logic_vector(3 downto 0);\r
+ g : out std_logic_vector(3 downto 0);\r
+ b : out std_logic_vector(3 downto 0);\r
\r
- dram_clk <= not mem_clk;\r
- sdram_clk <= not mem_clk;\r
-sdram_ctl_inst : sdram_controller\r
- port map (\r
+ --SDRAM Signals\r
+ dram_addr : out std_logic_vector (11 downto 0); --Address (12 bit)\r
+ dram_bank : out std_logic_vector (1 downto 0); --Bank\r
+ dram_cas_n : out std_logic; --Column Address is being transmitted\r
+ dram_cke : out std_logic; --Clock Enable\r
+ dram_clk : out std_logic; --Clock\r
+ dram_cs_n : out std_logic; --Chip Select (Here - Mask commands)\r
+ dram_dq : inout std_logic_vector (15 downto 0); --Data in / Data out\r
+ dram_ldqm : out std_logic; --Byte masking\r
+ dram_udqm : out std_logic; --Byte masking\r
+ dram_ras_n : out std_logic; --Row Address is being transmitted\r
+ dram_we_n : out std_logic --Write Enable\r
+\r
+ );\r
+end qt_proj_test5;\r
+\r
+architecture rtl of qt_proj_test5 is\r
+\r
+component sdram_controller \r
+ generic\r
+ (\r
+ reset_polarity_g : std_logic := '0' --When rst = reset_polarity_g, system is in RESET mode\r
+ );\r
+ port (\r
--Clocks and Reset \r
- sdram_clk, \r
- rst_n, \r
- '0',\r
+ clk_i : in std_logic; --Wishbone input clock\r
+ rst : in std_logic; --Reset\r
+ pll_locked : in std_logic; --PLL Locked indication, for CKE (Clock Enable) signal to SDRAM\r
\r
--SDRAM Signals\r
- dram_addr ,\r
- dram_bank ,\r
- dram_cas_n ,\r
- dram_cke ,\r
- dram_cs_n ,\r
- dram_dq ,\r
- dram_ldqm ,\r
- dram_udqm ,\r
- dram_ras_n ,\r
- dram_we_n ,\r
+ dram_addr : out std_logic_vector (11 downto 0); --Address (12 bit)\r
+ dram_bank : out std_logic_vector (1 downto 0); --Bank\r
+ dram_cas_n : out std_logic; --Column Address is being transmitted\r
+ dram_cke : out std_logic; --Clock Enable\r
+ dram_cs_n : out std_logic; --Chip Select (Here - Mask commands)\r
+ dram_dq : inout std_logic_vector (15 downto 0); --Data in / Data out\r
+ dram_ldqm : out std_logic; --Byte masking\r
+ dram_udqm : out std_logic; --Byte masking\r
+ dram_ras_n : out std_logic; --Row Address is being transmitted\r
+ dram_we_n : out std_logic; --Write Enable\r
\r
-- Wishbone Slave signals to Read/Write interface\r
- wbs_adr_i ,\r
- wbs_dat_i ,\r
- wbs_we_i ,\r
- wbs_tga_i ,\r
- wbs_cyc_i ,\r
- wbs_stb_i ,\r
- wbs_dat_o ,\r
- wbs_stall_o ,\r
- wbs_err_o ,\r
- wbs_ack_o ,\r
+ wbs_adr_i : in std_logic_vector (21 downto 0); --Address (Bank, Row, Col)\r
+ wbs_dat_i : in std_logic_vector (15 downto 0); --Data In (16 bits)\r
+ wbs_we_i : in std_logic; --Write Enable\r
+ wbs_tga_i : in std_logic_vector (7 downto 0); --Address Tag : Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
+ wbs_cyc_i : in std_logic; --Cycle Command from interface\r
+ wbs_stb_i : in std_logic; --Strobe Command from interface\r
+ wbs_dat_o : out std_logic_vector (15 downto 0); --Data Out (16 bits)\r
+ wbs_stall_o : out std_logic; --Slave is not ready to receive new data\r
+ wbs_err_o : out std_logic; --Error flag: OOR Burst. Burst length is greater that 256-column address\r
+ wbs_ack_o : out std_logic; --When Read Burst: DATA bus must be valid in this cycle\r
+ --When Write Burst: Data has been read from SDRAM and is valid\r
\r
--Debug signals\r
- cmd_ack ,\r
- cmd_done ,\r
- init_st_o ,\r
- main_st_o \r
- ); \r
- \r
- -- signal addr : std_logic_vector( addr_size - 1 downto 0);\r
--- signal d_io : std_logic_vector( data_size - 1 downto 0);\r
---\r
---component counter_register\r
--- generic (\r
--- dsize : integer := 8;\r
--- inc : integer := 1\r
--- );\r
--- port ( clk : in std_logic;\r
--- rst_n : in std_logic;\r
--- ce_n : in std_logic;\r
--- we_n : in std_logic;\r
--- d : in std_logic_vector(dsize - 1 downto 0);\r
--- q : out std_logic_vector(dsize - 1 downto 0)\r
--- );\r
---end component;\r
---\r
---component prg_rom\r
--- generic (abus_size : integer := 15; dbus_size : integer := 8);\r
--- port ( clk : in std_logic;\r
--- ce_n : in std_logic; --select pin active low.\r
--- addr : in std_logic_vector (abus_size - 1 downto 0);\r
--- data : inout std_logic_vector (dbus_size - 1 downto 0)\r
--- );\r
---end component;\r
---\r
---component processor_status \r
--- generic (\r
--- dsize : integer := 8\r
--- );\r
--- port ( \r
--- signal dbg_dec_oe_n : out std_logic;\r
--- signal dbg_dec_val : out std_logic_vector (dsize - 1 downto 0);\r
--- signal dbg_int_dbus : out std_logic_vector (dsize - 1 downto 0);\r
--- signal dbg_status_val : out std_logic_vector (7 downto 0);\r
--- signal dbg_stat_we_n : out std_logic;\r
--- \r
--- clk : in std_logic;\r
--- res_n : in std_logic;\r
--- dec_oe_n : in std_logic;\r
--- bus_oe_n : in std_logic;\r
--- set_flg_n : in std_logic;\r
--- flg_val : in std_logic;\r
--- load_bus_all_n : in std_logic;\r
--- load_bus_nz_n : in std_logic;\r
--- set_from_alu_n : in std_logic;\r
--- alu_n : in std_logic;\r
--- alu_v : in std_logic;\r
--- alu_z : in std_logic;\r
--- alu_c : in std_logic;\r
--- stat_c : out std_logic;\r
--- dec_val : inout std_logic_vector (dsize - 1 downto 0);\r
--- int_dbus : inout std_logic_vector (dsize - 1 downto 0)\r
--- );\r
---end component;\r
---\r
--- ---status register\r
--- signal status_reg, int_d_bus : std_logic_vector (7 downto 0);\r
--- signal stat_dec_oe_n : std_logic;\r
--- signal stat_bus_oe_n : std_logic;\r
--- signal stat_set_flg_n : std_logic;\r
--- signal stat_flg : std_logic;\r
--- signal stat_bus_all_n : std_logic;\r
--- signal stat_bus_nz_n : std_logic;\r
--- signal stat_alu_we_n : std_logic;\r
--- signal alu_n : std_logic;\r
--- signal alu_z : std_logic;\r
--- signal alu_c : std_logic;\r
--- signal alu_v : std_logic;\r
--- signal stat_c : std_logic;\r
--- signal trig_clk : std_logic;\r
--- \r
--- \r
--- \r
--- component alu_test\r
--- port ( \r
--- d1 : in std_logic_vector(7 downto 0);\r
--- d2 : in std_logic_vector(7 downto 0);\r
--- d_out : out std_logic_vector(7 downto 0);\r
--- carry_clr_n : in std_logic;\r
--- ea_carry : out std_logic\r
--- );\r
---end component;\r
---\r
--- signal d1, d2, d_out : std_logic_vector (7 downto 0);\r
--- signal ea_carry, gate_n : std_logic;\r
--- signal carry_clr_n : std_logic;\r
+ cmd_ack : out std_logic; --Command has been acknowledged\r
+ cmd_done : out std_logic; --Command has finished (read/write)\r
+ init_st_o : out std_logic_vector (3 downto 0); --Current init state\r
+ main_st_o : out std_logic_vector (3 downto 0) --Current main state\r
+ );\r
+end component;\r
+\r
+component sdram_rw \r
+ generic(\r
+ reset_polarity : std_logic := '0' --When rst = reset_polarity, system at RESET\r
+ );\r
+ port(\r
+ --Clock and Reset\r
+ clk_i : in std_logic; --WISHBONE Clock\r
+ rst : in std_logic; --RESET\r
+ \r
+ --Signals to SDRAM controller\r
+ wbm_adr_o : out std_logic_vector (21 downto 0); --Address to read from / write to\r
+ wbm_dat_i : in std_logic_vector (15 downto 0); --Data out (to SDRAM)\r
+ wbm_dat_o : out std_logic_vector (15 downto 0); --Data in (from SDRAM)\r
+ wbm_we_i : out std_logic; --'1' - Write, '0' - Read\r
+ wbm_tga_o : out std_logic_vector (7 downto 0); --Read/write burst length-1 (0 represents 1 word, FF represents 256 words)\r
+ wbm_cyc_o : out std_logic; --Transmit command to SDRAM controller\r
+ wbm_stb_o : out std_logic; --Transmit command to SDRAM controller\r
+ wbm_stall_i : in std_logic; --When '1', write data to SDRAM\r
+ wbm_ack_i : in std_logic; --when '1', data is ready to be read from SDRAM\r
+ \r
+ --Debug and test signals\r
+ cmd_ack : in std_logic; --Command has been acknowledged by SDRAM controller\r
+ cmd_done : in std_logic; --Command has finished (read/write)\r
+ green_led : out std_logic; --Test passed\r
+ red_led : out std_logic; --Test fail\r
+ writing : out std_logic; --'1' when writing, '0' when reading\r
+ mem_val_o : out std_logic_vector (15 downto 0); --Memory value written / compared to SDRAM\r
+ sdram_val_o : out std_logic_vector (15 downto 0); --Read value from SDRAM\r
+ cur_st_o : out std_logic_vector (3 downto 0) --Current state\r
+ );\r
+end component;\r
+\r
+component vga_clk_gen\r
+ PORT\r
+ (\r
+ inclk0 : IN STD_LOGIC := '0';\r
+ c0 : OUT STD_LOGIC ;\r
+ locked : OUT STD_LOGIC \r
+ );\r
+end component;\r
\r
+--Clock and Reset\r
+signal clk_133 : std_logic := '0'; --133 MHz\r
+signal rst : std_logic := '0'; --Reset\r
\r
- \r
- \r
--- trig_clk <= not cpu_clk;\r
---\r
--- pcl_inst : counter_register generic map (16) port map\r
--- (cpu_clk, rst_n, '0', '1', (others => '0'), addr(15 downto 0));\r
---\r
--- rom_inst : prg_rom generic map (12, 8) port map\r
--- (base_clk, '0', addr(11 downto 0), d_io);\r
---\r
--- dbg_addr <= addr;\r
--- dbg_d_io <= d_io;\r
---\r
--- dbg_d1 <= d1;\r
--- dbg_d2 <= d2;\r
--- dbg_d_out <= d_out;\r
--- dbg_ea_carry <= ea_carry;\r
--- dbg_carry_clr_n <= carry_clr_n;\r
--- dbg_gate_n <= gate_n;\r
--- \r
--- dummy_alu : alu_test\r
--- port map ( \r
--- d1, d2, d_out, carry_clr_n , ea_carry\r
--- );\r
---\r
--- gate_n <= not ea_carry;\r
--- dec_test_p : process (rst_n, ea_carry, trig_clk)\r
--- begin\r
--- if (rst_n = '0') then\r
--- d1 <= "00000000";\r
--- d2 <= "00000000";\r
--- carry_clr_n <= '0';\r
--- --gate_n <= '1';\r
----- elsif (ea_carry = '1') then\r
----- gate_n <= '0';\r
----- carry_clr_n <= '0';\r
--- elsif (rising_edge(trig_clk)) then\r
--- if (addr(5 downto 0) = "000001") then\r
--- --addr=01\r
--- carry_clr_n <= '1';\r
--- d1 <= "00010011";\r
--- d2 <= "01000111";\r
--- --gate_n <= '1';\r
--- elsif (addr(5 downto 0) = "000010") then\r
--- --addr=02\r
--- carry_clr_n <= '1';\r
--- d1 <= "00110011";\r
--- d2 <= "11001111";\r
--- --gate_n <= '1';\r
--- elsif (addr(5 downto 0) = "000011") then\r
--- --addr=03\r
--- carry_clr_n <= '1';\r
--- d1 <= "00001010";\r
--- d2 <= "01011001";\r
--- --gate_n <= '1';\r
--- elsif (addr(5 downto 0) = "000100") then\r
--- --addr=04\r
--- carry_clr_n <= '1';\r
--- d1 <= "10001010";\r
--- d2 <= "10011001";\r
--- --gate_n <= '1';\r
--- else\r
--- carry_clr_n <= '1';\r
--- d1 <= "00000000";\r
--- d2 <= "00000000";\r
--- --gate_n <= '1';\r
--- end if;\r
--- end if;\r
--- end process;\r
---\r
---\r
--- --status register\r
--- status_register : processor_status generic map (8) \r
--- port map (\r
--- dbg_dec_oe_n,\r
--- dbg_dec_val,\r
--- dbg_int_dbus,\r
--- dbg_status_val,\r
--- dbg_stat_we_n ,\r
--- trig_clk , rst_n, \r
--- stat_dec_oe_n, stat_bus_oe_n, \r
--- stat_set_flg_n, stat_flg, stat_bus_all_n, stat_bus_nz_n, \r
--- stat_alu_we_n, alu_n, alu_v, alu_z, alu_c, stat_c,\r
--- status_reg, int_d_bus);\r
---\r
--- dbg_status <= status_reg;\r
--- status_test_p : process (addr)\r
--- begin\r
--- if (addr(5 downto 0) = "000010") then\r
--- --addr=02\r
--- --set status(7) = '1'\r
--- stat_dec_oe_n <= '1';\r
--- stat_bus_oe_n <= '1';\r
--- stat_set_flg_n <= '0';\r
--- stat_flg <= '1';\r
--- stat_bus_all_n <= '1';\r
--- stat_bus_nz_n <= '1'; \r
--- stat_alu_we_n <= '1';\r
--- status_reg <= "01000000";\r
--- int_d_bus <= "00000000";\r
---\r
--- elsif (addr(5 downto 0) = "000100") then\r
--- --addr=04\r
--- --set status(2) = '0'\r
--- stat_dec_oe_n <= '1';\r
--- stat_bus_oe_n <= '1';\r
--- stat_set_flg_n <= '0';\r
--- stat_flg <= '0';\r
--- stat_bus_all_n <= '1';\r
--- stat_bus_nz_n <= '1'; \r
--- stat_alu_we_n <= '1';\r
--- status_reg <= "00000100";\r
--- int_d_bus <= "00000000";\r
---\r
--- elsif (addr(5 downto 0) = "000110") then\r
--- --addr=06\r
--- --set nz from bus, n=1\r
--- stat_dec_oe_n <= '1';\r
--- stat_bus_oe_n <= '1';\r
--- stat_set_flg_n <= '1';\r
--- stat_flg <= '0';\r
--- stat_bus_all_n <= '1';\r
--- stat_bus_nz_n <= '0'; \r
--- stat_alu_we_n <= '1';\r
--- status_reg <= (others => 'Z');\r
--- int_d_bus <= "10000000";\r
---\r
--- elsif (addr(5 downto 0) = "001000") then\r
--- --addr=08\r
--- --set nz from bus, z=1\r
--- stat_dec_oe_n <= '1';\r
--- stat_bus_oe_n <= '1';\r
--- stat_set_flg_n <= '1';\r
--- stat_flg <= '0';\r
--- stat_bus_all_n <= '1';\r
--- stat_bus_nz_n <= '0'; \r
--- stat_alu_we_n <= '1';\r
--- status_reg <= (others => 'Z');\r
--- int_d_bus <= "00000000";\r
---\r
--- else\r
--- stat_dec_oe_n <= '0';\r
--- stat_bus_oe_n <= '1';\r
--- stat_set_flg_n <= '1';\r
--- stat_flg <= '1';\r
--- stat_bus_all_n <= '1';\r
--- stat_bus_nz_n <= '1'; \r
--- stat_alu_we_n <= '1';\r
--- status_reg <= (others => 'Z');\r
--- int_d_bus <= (others => 'Z');\r
--- end if;\r
--- end process;\r
+--Read / Write signals to SDRAM\r
+signal addr : std_logic_vector (21 downto 0);\r
+signal dat_tb2ram : std_logic_vector (15 downto 0);\r
+signal dat_ram2tb : std_logic_vector (15 downto 0);\r
+signal we_i : std_logic;\r
+signal stall_i : std_logic;\r
+signal cyc_o : std_logic;\r
+signal err_o : std_logic;\r
+signal ack_i : std_logic;\r
+signal stb_o : std_logic;\r
+signal burst_len : std_logic_vector (7 downto 0);\r
+signal cmd_ack : std_logic;\r
+signal cmd_done : std_logic;\r
\r
-end rtl;\r
+--LEDs\r
+signal writing : std_logic;\r
+\r
+--States\r
+signal init_st_o : std_logic_vector (3 downto 0);\r
+signal main_st_o : std_logic_vector (3 downto 0);\r
+\r
+--Debug\r
+signal cur_st_o : std_logic_vector (3 downto 0);\r
+\r
+signal sdram_clk, pll_locked : std_logic;\r
+\r
+begin\r
+ --Clock process\r
+ vga_clk_gen_inst : vga_clk_gen\r
+ PORT map\r
+ (\r
+ --sdram_clk = 133.3333 MHz.\r
+ base_clk, sdram_clk, pll_locked\r
+ );\r
+ clk_proc:\r
+ clk_133 <= sdram_clk;\r
+ dram_clk <= sdram_clk;\r
+ dbg_mem_clk <= sdram_clk;\r
+ \r
+ rst_proc:\r
+ rst <= rst_n;\r
+ \r
+ --Componenets:\r
+ sdr_ctrl : sdram_controller generic map (\r
+ reset_polarity_g => '0'\r
+ )\r
+ port map(\r
+ clk_i => clk_133,\r
+ rst => rst,\r
+ pll_locked => pll_locked,\r
+ \r
+ dram_addr => dram_addr, \r
+ dram_bank => dram_bank, \r
+ dram_cas_n => dram_cas_n, \r
+ dram_cke => dram_cke, \r
+ dram_cs_n => dram_cs_n, \r
+ dram_dq => dram_dq, \r
+ dram_ldqm => dram_ldqm, \r
+ dram_udqm => dram_udqm, \r
+ dram_ras_n => dram_ras_n, \r
+ dram_we_n => dram_we_n, \r
+ \r
+ wbs_adr_i => addr, \r
+ wbs_dat_i => dat_tb2ram, \r
+ wbs_we_i => we_i, \r
+ wbs_tga_i => burst_len, \r
+ wbs_cyc_i => cyc_o,\r
+ wbs_stb_i => stb_o, \r
+ wbs_dat_o => dat_ram2tb,\r
+ wbs_stall_o => stall_i,\r
+ wbs_err_o => err_o,\r
+ wbs_ack_o => ack_i,\r
+ \r
+ cmd_ack => cmd_ack,\r
+ cmd_done => cmd_done,\r
+ init_st_o => init_st_o,\r
+ main_st_o => main_st_o\r
+ );\r
+ \r
+ sdr_rw : sdram_rw port map (\r
+ clk_i => clk_133,\r
+ rst => rst,\r
+ \r
+ wbm_adr_o => addr,\r
+ wbm_dat_i => dat_ram2tb,\r
+ wbm_dat_o => dat_tb2ram,\r
+ wbm_we_i => we_i,\r
+ wbm_tga_o => burst_len,\r
+ wbm_cyc_o => cyc_o,\r
+ wbm_stb_o => stb_o,\r
+ wbm_stall_i => stall_i,\r
+ wbm_ack_i => ack_i,\r
\r
+ cmd_ack => cmd_ack,\r
+ cmd_done => cmd_done,\r
+ green_led => green_led,\r
+ red_led => red_led,\r
+ writing => writing\r
+ );\r
+ \r
+end architecture rtl;\r
<session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C(15|20) (0x020B30DD)" sof_file="">\r
<display_tree gui_logging_enabled="0">\r
- <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
+ <display_branch instance="auto_signaltap_0" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
</display_tree>\r
<instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
<node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
<position_info>\r
- <single attribute="active tab" value="0"/>\r
- <single attribute="data horizontal scroll position" value="42"/>\r
+ <single attribute="active tab" value="1"/>\r
+ <single attribute="data horizontal scroll position" value="121"/>\r
<single attribute="data vertical scroll position" value="0"/>\r
<single attribute="setup horizontal scroll position" value="0"/>\r
<single attribute="setup vertical scroll position" value="4"/>\r
<single attribute="zoom level denominator" value="1"/>\r
- <single attribute="zoom level numerator" value="64"/>\r
- <single attribute="zoom offset denominator" value="1"/>\r
- <single attribute="zoom offset numerator" value="2016"/>\r
- <multi attribute="timebars" size="7" value="281,167,128,65,101,114,106"/>\r
+ <single attribute="zoom level numerator" value="32"/>\r
+ <single attribute="zoom offset denominator" value="32"/>\r
+ <single attribute="zoom offset numerator" value="130049"/>\r
+ <multi attribute="timebars" size="0" value=""/>\r
</position_info>\r
- <signal_set global_temp="1" name="signal_set: 2014/04/09 11:52:04 #0">\r
+ <signal_set global_temp="1" name="signal_set: 2014/07/08 11:17:52 #0">\r
<clock name="dbg_mem_clk" polarity="posedge" tap_mode="classic"/>\r
<config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="1024" trigger_in_enable="no" trigger_out_enable="no"/>\r
<top_entity/>\r
<wire name="sdram_rw:sdr_rw|wbm_cyc_o" tap_mode="classic"/>\r
<wire name="sdram_rw:sdr_rw|wbm_stall_i" tap_mode="classic"/>\r
<wire name="sdram_rw:sdr_rw|wbm_stb_o" tap_mode="classic"/>\r
+ <wire name="sdram_rw:sdr_rw|wbm_we_i" tap_mode="classic"/>\r
<wire name="sdram_rw:sdr_rw|writing" tap_mode="classic"/>\r
</trigger_input_vec>\r
<data_input_vec>\r
<node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
<node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
<node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
- <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
- <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+ <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
<node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
<node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
<node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
<node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
</node>\r
<node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
- <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
- <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
- <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
- <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
- <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
- <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
- <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
- <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
- <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
- <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
- <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
- <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
- <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
- <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
- <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
- <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
- <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
- <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
- <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
- <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
- <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
- <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+ <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+ <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+ <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+ <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+ <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+ <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+ <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+ <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+ <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+ <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+ <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+ <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+ <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+ <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+ <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+ <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+ <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+ <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+ <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+ <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+ <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+ <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
</node>\r
<node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
<node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
<net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
<net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
<net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
- <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+ <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
<net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
<net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
</bus>\r
<bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
</bus>\r
<bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
<net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
<net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
<net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
- <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
<net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
<net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
<net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
<net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
- <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+ <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
<net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
<net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
</bus>\r
<bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
</bus>\r
<bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
<net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
<net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
<net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
- <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
<net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
<trigger_in_editor/>\r
<trigger_out_editor/>\r
</presentation>\r
- <trigger CRC="FFBF163F" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/04/09 11:52:04 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+ <trigger attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/07/08 11:17:52 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
<power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
<events use_custom_flow_control="no">\r
- <level enabled="yes" name="condition1" type="basic">'red_led' == rising edge\r
+ <level enabled="yes" name="condition1" type="basic">'red_led' == low && 'sdram_controller:sdr_ctrl|address_r[0]' == high && 'sdram_controller:sdr_ctrl|address_r[10]' == low && 'sdram_controller:sdr_ctrl|address_r[11]' == low && 'sdram_controller:sdr_ctrl|address_r[12]' == high && 'sdram_controller:sdr_ctrl|address_r[13]' == high && 'sdram_controller:sdr_ctrl|address_r[14]' == high && 'sdram_controller:sdr_ctrl|address_r[15]' == high && 'sdram_controller:sdr_ctrl|address_r[16]' == low && 'sdram_controller:sdr_ctrl|address_r[17]' == low && 'sdram_controller:sdr_ctrl|address_r[18]' == low && 'sdram_controller:sdr_ctrl|address_r[19]' == low && 'sdram_controller:sdr_ctrl|address_r[1]' == low && 'sdram_controller:sdr_ctrl|address_r[20]' == low && 'sdram_controller:sdr_ctrl|address_r[21]' == low && 'sdram_controller:sdr_ctrl|address_r[2]' == low && 'sdram_controller:sdr_ctrl|address_r[3]' == high && 'sdram_controller:sdr_ctrl|address_r[4]' == high && 'sdram_controller:sdr_ctrl|address_r[5]' == low && 'sdram_controller:sdr_ctrl|address_r[6]' == low && 'sdram_controller:sdr_ctrl|address_r[7]' == high && 'sdram_controller:sdr_ctrl|address_r[8]' == low && 'sdram_controller:sdr_ctrl|address_r[9]' == low\r
<power_up enabled="yes">\r
</power_up>\r
<op_node/>\r
</level>\r
</events>\r
<storage_qualifier_events>\r
- <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001\r
- <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001</pwr_up_transitional>\r
+ <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
+ <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
</transitional>\r
<storage_qualifier_level type="basic">\r
<power_up>\r
<op_node/>\r
</storage_qualifier_level>\r
</storage_qualifier_events>\r
- <log>\r
- <data global_temp="1" name="log: 2014/04/09 11:54:45 #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110110010101111101001010010111111111100000000011001011100100100010100101011111010101010111101111010111111111100000000011101001010111110101010101111011110011111111100000000000000001110010010111111101001010010111111111100000000011110011100100100011100101011111010101010111101111010111111111100000000011111001010111110101010101111011110011111111100000000000000001110110010111111101001010010111111111100000000011010011100100100010100101111111010101010111101111010111111111100000000011101001011111110101010101111011110011111111100000000000000001110010010100000011001010010111111111100000000011100011100100100011100101111111010101010111101111010111111111100000000011111001011111110101010101111011110011111111100000000000000001110110010100000011001010010111111111100000000011000011100100100010100101000000110101010111101111010111111111100000000011101001010000001101010101111011110011111111100000000000000001110010010110000011001010010111111111100000000011111101100100100011100101000000110101010111101111010111111111100000000011111001010000001101010101111011110011111111100000000000000001110110010110000011001010010111111111100000000011011101100100100010100101100000110101010111101111010111111111100000000011101001011000001101010101111011110011111111100000000000000001110010010101000011001010010111111111100000000011101101100100100011100101100000110101010111101111010111111111100000000011111001011000001101010101111011110011111111100000000000000001110110010101000011001010010111111111100000000011001101100100100010100101010000110101010111101111010111111111100000000011101001010100001101010101111011110011111111100000000000000001110010010111000011001010010111111111100000000011110101100100100011100101010000110101010111101111010111111111100000000011111001010100001101010101111011110011111111100000000000000001110110010111000011001010010111111111100000000011010101100100100010100101110000110101010111101111010111111111100000000011101001011100001101010101111011110011111111100000000000000001110010010100100011001010010111111111100000000011100101100100100011100101110000110101010111101111010111111111100000000011111001011100001101010101111011110011111111100000000000000001110110010100100011001010010111111111100000000011000101100100100010100101001000110101010111101111010111111111100000000011101001010010001101010101111011110011111111100000000000000001110010010110100011001010010111111111100000000011111001100100100011100101001000110101010111101111010111111111100000000011111001010010001101010101111011110011111111100000000000000001110110010110100011001010010111111111100000000011011001100100100010100101101000110101010111101111010111111111100000000011101001011010001101010101111011110011111111100000000000000001110010010101100011001010010111111111100000000011101001100100100011100101101000110101010111101111010111111111100000000011111001011010001101010101111011110011111111100000000000000001110110010101100011001010010111111111100000000011001001100100100010100101011000110101010111101111010111111111100000000011101001010110001101010101111011110011111111100000000000000001110010010111100011001010010111111111100000000011110001100100100011100101011000110101010111101111010111111111100000000011111001010110001101010101111011110011111111100000000000000001110110010111100011001010010111111111100000000011010001100100100010100101111000110101010111101111010111111111100000000011101001011110001101010101111011110011111111100000000000000001110010010100010011001010010111111111100000000011100001100100100011100101111000110101010111101111010111111111100000000011111001011110001101010101111011110011111111100000000000000001110110010100010011001010010111111111100000000011000001100100100010100101000100110101010111101111010111111111100000000011101001010001001101010101111011110011111111100000000000000001110010010110010011001010010111111111100000000011111110100100100011100101000100110101010111101111010111111111100000000011111001010001001101010101111011110011111111100000000000000001110110010110010011001010010111111111100000000011011110100100100010100101100100110101010111101111010111111111100000000011101001011001001101010101111011110011111111100000000000000001110010010101010011001010010111111111100000000011101110100100100011100101100100110101010111101111010111111111100000000011111001011001001101010101111011110011111111100000000000000001110110010101010011001010010111111111100000000011001110100100100010100101010100110101010111101111010111111111100000000011101001010101001101010101111011110011111111100000000000000001110010010111010011001010010111111111100000000011110110100100100011100101010100110101010111101111010111111111100000000011111001010101001101010101111011110011111111100000000000000001110110010111010011001010010111111111100000000011010110100100100010100101110100110101010111101111010111111111100000000011101001011101001101010101111011110011111111100000000000000001110010010100110011001010010111111111100000000011100110100100100011100101110100110101010111101111010111111111100000000011111001011101001101010101111011110011111111100000000000000001110110010100110011001010010111111111100000000011000110100100100010100101001100110101010111101111010111111111100000000011101001010011001101010101111011110011111111100000000000000001110010010110110011001010010111111111100000000011111010100100100011100101001100110101010111101111010111111111100000000011111001010011001101010101111011110011111111100000000000000001110110010110110011001010010111111111100000000011011010100100100010100101101100110101010111101111010111111111100000000011101001011011001101010101111011110011111111100000000000000001110010010101110011001010010111111111100000000011101010100100100011100101101100110101010111101111010111111111100000000011111001011011001101010101111011110011111111100000000000000001110110010101110011001010010111111111100000000011001010100100100010100101011100110101010111101111010111111111100000000011101001010111001101010101111011110011111111100000000000000001110010010111110011001010010111111111100000000011110010100100100011100101011100110101010111101111010111111111100000000011111001010111001101010101111011110011111111100000000000000001110110010111110011001010010111111111100000000011010010100100100010100101111100110101010111101111010111111111100000000011101001011111001101010101111011110011111111100000000000000001110010010100001011001010010111111111100000000011100010100100100011100101111100110101010111101111010111111111100000000011111001011111001101010101111011110011111111100000000000000001110110010100001011001010010111111111100000000011000010100100100010100101000010110101010111101111010111111111100000000011101001010000101101010101111011110011111111100000000000000001110010010110001011001010010111111111100000000011111100100100100011100101000010110101010111101111010111111111100000000011111001010000101101010101111011110011111111100000000000000001110110010110001011001010010111111111100000000011011100100100100010100101100010110101010111101111010111111111100000000011101001011000101101010101111011110011111111100000000000000001110010010101001011001010010111111111100000000011101100100100100011100101100010110101010111101111010111111111100000000011111001011000101101010101111011110011111111100000000000000001110110010101001011001010010111111111100000000011001100100100100010100101010010110101010111101111010111111111100000000011101001010100101101010101111011110011111111100000000000000001110010010111001011001010010111111111100000000011110100100100100011100101010010110101010111101111010111111111100000000011111001010100101101010101111011110011111111100000000000000001110110010111001011001010010111111111100000000011010100100100100010100101110010110101010111101111010111111111100000000011101001011100101101010101111011110011111111100000000000000001110010010100101011001010010111111111100000000011100100100100100011100101110010110101010111101111010111111111100000000011111001011100101101010101111011110011111111100000000000000001110110010100101011001010010111111111100000000011000100100100100010100101001010110101010111101111010111111111100000000011101001010010101101010101111011110011111111100000000000000001110010010110101011001010010111111111100000000011111000100100100011100101001010110101010111101111010111111111100000000011111001010010101101010101111011110011111111100000000000000001110110010110101011001010010111111111100000000011011000100100100010100101101010110101010111101111010111111111100000000011101001011010101101010101111011110011111111100000000000000001110010010101101011001010010111111111100000000011101000100100100011100101101010110101010111101111010111111111100000000011111001011010101101010101111011110011111111100000000000000001110110010101101011001010010111111111100000000011001000100100100010100101011010110101010111101111010111111111100000000011101001010110101101010101111011110011111111100000000000000001110010010111101011001010010111111111100000000011110000100100100011100101011010110101010111101111010111111111100000000011111001010110101101010101111011110011111111100000000000000001110110010111101011001010010111111111100000000011010000100100100010100101111010110101010111101111010111111111100000000011101001011110101101010101111011110011111111100000000000000001110010010100011011001010010111111111100000000011100000100100100011100101111010110101010111101111010111111111100000000011111001011110101101010101111011110011111111100000000000000001110110010100011011001010010111111111100000000011000000100100100010100101000110110101010111101111010111111111100000000011101001010001101101010101111011110011111111100000000000000001110010010110011011001010010111111111100000000011111111000100100011100101000110110101010111101111010111111111100000000011111001010001101101010101111011110011111111100000000000000001110110010110011011001010010111111111100000000011011111000100100010100101100110110101010111101111010111111111100000000011101001011001101101010101111011110011111111100000000000000001110010010101011011001010010111111111100000000011101111000100100011100101100110110101010111101111010111111111100000000011111001011001101101010101111011110011111111100000000000000001110110010101011011001010010111111111100000000011001111000100100010100101010110110101010111101111010111111111100000000011101001010101101101010101111011110011111111100000000000000001110010010111011011001010010111111111100000000011110111000100100011100101010110110101010111101111010111111111100000000011111001010101101101010101111011110011111111100000000000000001110110010111011011001010010111111111100000000011010111000100100010100101110110110101010111101111010111111111100000000011101001011101101101010101111011110011111111100000000000000001110010010100111011001010010111111111100000000011100111000100100011100101110110110101010111101111010111111111100000000011111001011101101101010101111011110011111111100000000000000001110110010100111011001010010111111111100000000011000111000100100010100101001110110101010111101111010111111111100000000011101001010011101101010101111011110011111111100000000000000001110010010110111011001010010111111111100000000011111011000100100011100101001110110101010111101111010111111111100000000011111001010011101101010101111011110011111111100000000000000001110110010110111011001010010111111111100000000011011011000100100010100101101110110101010111101111010111111111100000000011101001011011101101010101111011110011111111100000000000000001110010010101111011001010010111111111100000000011101011000100100011100101101110110101010111101111010111111111100000000011111001011011101101010101111011110011111111100000000000000001110110010101111011001010010111111111100000000011001011000100100010100101011110110101010111101111010111111111100000000011101001010111101101010101111011110011111111100000000000000001110010010111111011001010010111111111100000000011110011000100100011100101011110110101010111101111010111111111100000000011111001010111101101010101111011110011111111100000000000000001110110010111111011001010010111111111100000000011010011000100100010100101111110110101010111101111010111111111100000000011101001011111101101010101111011110011111111100000000000000001110010010100000111001010010111111111100000000011100011000100100011100101111110110101010111101111010111111111100000000011111001011111101101010101111011110011111111100000000000000001110110010100000111001010010111111111100000000011000011000100100010100101000001110101010111101111010111111111100000000011101001010000011101010101111011110011111111100000000000000001110010010110000111001010010111111111100000000011111101000100100011100101000001110101010111101111010111111111100000000011111001010000011101010101111011110011111111100000000000000001110110010110000111001010010111111111100000000011011101000100100010100101100001110101010111101111010111111111100000000011101001011000011101010101111011110011111111100000000000000001110010010101000111001010010111111111100000000011101101000100100011100101100001110101010111101111010111111111100000000011111001011000011101010101111011110011111111100000000000000001110110010101000111001010010111111111100000000011001101000100100010100101010001110101010111101111010111111111100000000011101001010100011101010101111011110011111111100000000000000001110010010111000111001010010111111111100000000011110101000100100011100101010001110101010111101111010111111111100000000011111001010100011101010101111011110011111111100000000000000001110110010111000111001010010111111111100000000011010101000100100010100101110001110101010111101111010111111111100000000011101001011100011101010101111011110011111111100000000000000001110010010100100111001010010111111111100000000011100101000100100011100101110001110101010111101111010111111111100000000011111001011100011101010101111011110011111111100000000000000001110110010100100111001010010111111111100000000011000101000100100010100101001001110101010111101111010111111111100000000011101001010010011101010101111011110011111111100000000000000001110010010110100111001010010111111111100000000011111001000100100011100101001001110101010111101111010111111111100000000011111001010010011101010101111011110011111111100000000000000001110110010110100111001010010111111111100000000011011001000100100010100101101001110101010111101111010111111111100000000011101001011010011101010101111011110011111111100000000000000001110010010101100111001010010111111111100000000011101001000100100011100101101001110101010111101111010111111111100000000011111001011010011101010101111011110011111111100000000000000001110110010101100111001010010111111111100000000011001001000100100010100101011001110101010111101111010111111111100000000011101001010110011101010101111011110011111111100000000000000001110010010111100111001010010111111111100000000011110001000100100011100101011001110101010111101111010111111111100000000011111001010110011101010101111011110011111111100000000000000001110110010111100111001010010111111111100000000011010001000100100010100101111001110101010111101111010111111111100000000011101001011110011101010101111011110011111111100000000000000001110010010100010111001010010111111111100000000011100001000100100011100101111001110101010111101111010111111111100000000011111001011110011101010101111011110011111111100000000000000001110110010100010111001010010111111111100000000011000001000100100010100101000101110101010111101111010111111111100000000011101001010001011101010101111011110011111111100000000000000001110010010110010111001010010111111111100000000011111110000100100011100101000101110101010111101111010111111111100000000011111001010001011101010101111011110011111111100000000000000001110110010110010111001010010111111111100000000011011110000100100010100101100101110101010111101111010111111111100000000011101001011001011101010101111011110011111111100000000000000001110010010101010111001010010111111111100000000011101110000100100011100101100101110101010111101111010111111111100000000011111001011001011101010101111011110011111111100000000000000001110110010101010111001010010111111111100000000011001110000100100010100101010101110101010111101111010111111111100000000011101001010101011101010101111011110011111111100000000000000001110010010111010111001010010111111111100000000011110110000100100011100101010101110101010111101111010111111111100000000011111001010101011101010101111011110011111111100000000000000001110110010111010111001010010111111111100000000011010110000100100010100101110101110101010111101111010111111111100000000011101001011101011101010101111011110011111111100000000000000001110010010100110111001010010111111111100000000011100110000100100011100101110101110101010111101111010111111111100000000011111001011101011101010101111011110011111111100000000000000001110110010100110111001010010111111111100000000011000110000100100010100101001101110101010111101111010111111111100000000011101001010011011101010101111011110011111111100000000000000001110010010110110111001010010111111111100000000011111010000100100011100101001101110101010111101111010111111111100000000011111001010011011101010101111011110011111111100000000000000001110110010110110111001010010111111111100000000011011010000100100010100101101101110101010111101111010111111111100000000011101001011011011101010101111011110011111111100000000000000001110010010101110111001010010111111111100000000011101010000100100011100101101101110101010111101111010111111111100000000011111001011011011101010101111011110011111111100000000000000001110110010101110111001010010111111111100000000011001010000100100010100101011101110101010111101111010111111111100000000011101001010111011101010101111011110011111111100000000000000001110010010111110111001010010111111111100000000011110010000100100011100101011101110101010111101111010111111111100000000011111001010111011101010101111011110011111111100000000000000001110110010111110111001010010111111111100000000011010010000100100010100101111101110101010111101111010111111111100000000011101001011111011101010101111011110011111111100000000000000001110010010100001111001010010111111111100000000011100010000100100011100101111101110101010111101111010111111111100000000011111001011111011101010101111011110011111111100000000000000001110110010100001111001010010111111111100000000011000010000100100010100101000011110101010111101111010111111111100000000011101001010000111101010101111011110011111111100000000000000001110010010110001111001010010111111111100000000011111100000100100011100101000011110101010111101111010111111111100000000011111001010000111101010101111011110011111111100000000000000001110110010110001111001010010111111111100000000011011100000100100010100101100011110101010111101111010111111111100000000011101001011000111101010101111011110011111111100000000000000001110010010101001111001010010111111111100000000011101100000100100011100101100011110101010111101111010111111111100000000011111001011000111101010101111011110011111111100000000000000001110110010101001111001010010111111111100000000011001100000100100010100101010011110101010111101111010111111111100000000011101001010100111101010101111011110011111111100000000000000001110010010111001111001010010111111111100000000011110100000100100011100101010011110101010111101111010111111111100000000011111001010100111101010101111011110011111111100000000000000001110110010111001111001010010111111111100000000011010100000100100010100101110011110101010111101111010111111111100000000011101001011100111101010101111011110011111111100000000000000001110010010100101111001010010111111111100000000011100100000100100011100101110011110101010111101111010111111111100000000011111001011100111101010101111011110011111111100000000000000001110110010100101111001010010111111111100000000011000100000100100010100101001011110101010111101111010111111111100000000011101001010010111101010101111011110011111111100000000000000001110010010110101111001010010111111111100000000011111000000100100011100101001011110101010111101111010111111111100000000011111001010010111101010101111011110011111111100000000000000001110110010110101111001010010111111111100000000011011000000100100010100101101011110101010111101111010111111111100000000011101001011010111101010101111011110011111111100000000000000001110010010101101111001010010111111111100000000011101000000100100011100101101011110101010111101111010111111111100000000011111001011010111101010101111011110011111111100000000000000001110110010101101111001010010111111111100000000011001000000100100010100101011011110101010111101111010111111111100000000011101001010110111101010101111011110011111111100000000000000001110010010111101111000000010111111111100000000011110000000101100011100101011011110101010111101111010111111111100000000011111001010110111101010101111011110011111111100000000000000001110110010111101111001010010111111111100000000011010000000100100010100101111011110101010111101111010111111111100000000011101001011110111101010101111011110011111111100000000000000001110010010100011110001010010111111111100000000011100000000100100011100101111011110101010111101111010000000000001000000000111001011110111101010101111011110011111111100000000000000001110110010100011110001010010111111111100000000011000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000101110110010100011110000010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000100110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110001010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110101010111101111001010010000000000001000000000000000001100100010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100100010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100</data>\r
- <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
- </log>\r
</trigger>\r
</signal_set>\r
</instance>\r
<session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C(15|20) (0x020B30DD)" sof_file="">\r
<display_tree gui_logging_enabled="0">\r
- <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
+ <display_branch instance="auto_signaltap_0" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
</display_tree>\r
<instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
<node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
- <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/04/09 11:52:04 #0">\r
+ <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/07/08 11:17:52 #0">\r
<clock name="dbg_mem_clk" polarity="posedge" tap_mode="classic"/>\r
<config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="1024" trigger_in_enable="no" trigger_out_enable="no"/>\r
<top_entity/>\r
<wire name="sdram_rw:sdr_rw|wbm_cyc_o" tap_mode="classic"/>\r
<wire name="sdram_rw:sdr_rw|wbm_stall_i" tap_mode="classic"/>\r
<wire name="sdram_rw:sdr_rw|wbm_stb_o" tap_mode="classic"/>\r
+ <wire name="sdram_rw:sdr_rw|wbm_we_i" tap_mode="classic"/>\r
<wire name="sdram_rw:sdr_rw|writing" tap_mode="classic"/>\r
</trigger_input_vec>\r
<data_input_vec>\r
<node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
<node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
<node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
- <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
- <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+ <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
<node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
<node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
<node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
<node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
</node>\r
<node name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
- <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
- <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
- <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
- <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
- <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
- <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
- <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
- <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
- <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
- <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
- <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
- <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
- <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
- <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
- <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
- <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
- <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
- <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
- <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
- <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
- <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
- <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+ <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+ <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+ <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+ <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+ <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+ <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+ <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+ <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+ <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+ <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+ <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+ <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+ <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+ <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+ <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+ <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+ <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+ <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+ <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+ <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+ <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+ <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
</node>\r
<node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
<node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
<net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
<net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
<net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
- <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+ <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
<net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
<net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
</bus>\r
<bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
</bus>\r
<bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
<net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
<net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
<net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
- <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
<net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
<net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="green_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="output pin"/>\r
<net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="input pin"/>\r
<net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" type="combinatorial"/>\r
- <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
- <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="falling edge" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="red_led" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="output pin"/>\r
+ <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_we_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="54" type="combinatorial"/>\r
<net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cur_st_o[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="53" type="combinatorial"/>\r
<net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="dram_dq[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="bidir pin"/>\r
</bus>\r
<bus name="sdram_controller:sdr_ctrl|address_r" order="msb_to_lsb" state="collapse" type="register">\r
- <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
- <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
- <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
- <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
- <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
- <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
- <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
- <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
- <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
- <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
- <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
- <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
- <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
- <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
- <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
- <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
- <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
- <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
- <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
- <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
- <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
- <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+ <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+ <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+ <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+ <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+ <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+ <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
+ <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+ <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+ <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+ <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+ <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+ <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+ <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+ <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+ <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+ <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+ <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+ <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+ <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
+ <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+ <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="sdram_controller:sdr_ctrl|address_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+ <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="sdram_controller:sdr_ctrl|address_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
</bus>\r
<bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" type="combinatorial"/>\r
<net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|wbm_ack_i" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="55" type="combinatorial"/>\r
<net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_ack" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="48" type="combinatorial"/>\r
<net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|cmd_done" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="49" type="combinatorial"/>\r
- <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="59" type="combinatorial"/>\r
+ <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="sdram_rw:sdr_rw|writing" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="60" type="combinatorial"/>\r
<bus name="sdram_rw:sdr_rw|sdram_val_o" order="msb_to_lsb" type="combinatorial">\r
<net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[15]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" type="combinatorial"/>\r
<net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[14]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
<trigger_in_editor/>\r
<trigger_out_editor/>\r
</presentation>\r
- <trigger CRC="FFBF163F" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/04/09 11:52:04 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+ <trigger CRC="2EAF35CB" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/07/08 11:17:52 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1024" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
<power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
<events use_custom_flow_control="no">\r
- <level enabled="yes" name="condition1" type="basic">'red_led' == rising edge\r
+ <level enabled="yes" name="condition1" type="basic">'red_led' == low && 'sdram_controller:sdr_ctrl|address_r[0]' == high && 'sdram_controller:sdr_ctrl|address_r[10]' == low && 'sdram_controller:sdr_ctrl|address_r[11]' == low && 'sdram_controller:sdr_ctrl|address_r[12]' == high && 'sdram_controller:sdr_ctrl|address_r[13]' == high && 'sdram_controller:sdr_ctrl|address_r[14]' == high && 'sdram_controller:sdr_ctrl|address_r[15]' == high && 'sdram_controller:sdr_ctrl|address_r[16]' == low && 'sdram_controller:sdr_ctrl|address_r[17]' == low && 'sdram_controller:sdr_ctrl|address_r[18]' == low && 'sdram_controller:sdr_ctrl|address_r[19]' == low && 'sdram_controller:sdr_ctrl|address_r[1]' == low && 'sdram_controller:sdr_ctrl|address_r[20]' == low && 'sdram_controller:sdr_ctrl|address_r[21]' == low && 'sdram_controller:sdr_ctrl|address_r[2]' == low && 'sdram_controller:sdr_ctrl|address_r[3]' == high && 'sdram_controller:sdr_ctrl|address_r[4]' == high && 'sdram_controller:sdr_ctrl|address_r[5]' == low && 'sdram_controller:sdr_ctrl|address_r[6]' == low && 'sdram_controller:sdr_ctrl|address_r[7]' == high && 'sdram_controller:sdr_ctrl|address_r[8]' == low && 'sdram_controller:sdr_ctrl|address_r[9]' == low\r
<power_up enabled="yes">\r
</power_up><op_node/>\r
</level>\r
</events>\r
<storage_qualifier_events>\r
- <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001\r
- <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000001</pwr_up_transitional>\r
+ <transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
+ <pwr_up_transitional>111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
</transitional>\r
<storage_qualifier_level type="basic">\r
<power_up>\r
<op_node/>\r
</storage_qualifier_level>\r
</storage_qualifier_events>\r
- <log>\r
- <data global_temp="1" name="log: 2014/04/09 11:54:45 #0" power_up_mode="false" sample_depth="1024" trigger_position="128">000000000000001110110010101111101001010010111111111100000000011001011100100100010100101011111010101010111101111010111111111100000000011101001010111110101010101111011110011111111100000000000000001110010010111111101001010010111111111100000000011110011100100100011100101011111010101010111101111010111111111100000000011111001010111110101010101111011110011111111100000000000000001110110010111111101001010010111111111100000000011010011100100100010100101111111010101010111101111010111111111100000000011101001011111110101010101111011110011111111100000000000000001110010010100000011001010010111111111100000000011100011100100100011100101111111010101010111101111010111111111100000000011111001011111110101010101111011110011111111100000000000000001110110010100000011001010010111111111100000000011000011100100100010100101000000110101010111101111010111111111100000000011101001010000001101010101111011110011111111100000000000000001110010010110000011001010010111111111100000000011111101100100100011100101000000110101010111101111010111111111100000000011111001010000001101010101111011110011111111100000000000000001110110010110000011001010010111111111100000000011011101100100100010100101100000110101010111101111010111111111100000000011101001011000001101010101111011110011111111100000000000000001110010010101000011001010010111111111100000000011101101100100100011100101100000110101010111101111010111111111100000000011111001011000001101010101111011110011111111100000000000000001110110010101000011001010010111111111100000000011001101100100100010100101010000110101010111101111010111111111100000000011101001010100001101010101111011110011111111100000000000000001110010010111000011001010010111111111100000000011110101100100100011100101010000110101010111101111010111111111100000000011111001010100001101010101111011110011111111100000000000000001110110010111000011001010010111111111100000000011010101100100100010100101110000110101010111101111010111111111100000000011101001011100001101010101111011110011111111100000000000000001110010010100100011001010010111111111100000000011100101100100100011100101110000110101010111101111010111111111100000000011111001011100001101010101111011110011111111100000000000000001110110010100100011001010010111111111100000000011000101100100100010100101001000110101010111101111010111111111100000000011101001010010001101010101111011110011111111100000000000000001110010010110100011001010010111111111100000000011111001100100100011100101001000110101010111101111010111111111100000000011111001010010001101010101111011110011111111100000000000000001110110010110100011001010010111111111100000000011011001100100100010100101101000110101010111101111010111111111100000000011101001011010001101010101111011110011111111100000000000000001110010010101100011001010010111111111100000000011101001100100100011100101101000110101010111101111010111111111100000000011111001011010001101010101111011110011111111100000000000000001110110010101100011001010010111111111100000000011001001100100100010100101011000110101010111101111010111111111100000000011101001010110001101010101111011110011111111100000000000000001110010010111100011001010010111111111100000000011110001100100100011100101011000110101010111101111010111111111100000000011111001010110001101010101111011110011111111100000000000000001110110010111100011001010010111111111100000000011010001100100100010100101111000110101010111101111010111111111100000000011101001011110001101010101111011110011111111100000000000000001110010010100010011001010010111111111100000000011100001100100100011100101111000110101010111101111010111111111100000000011111001011110001101010101111011110011111111100000000000000001110110010100010011001010010111111111100000000011000001100100100010100101000100110101010111101111010111111111100000000011101001010001001101010101111011110011111111100000000000000001110010010110010011001010010111111111100000000011111110100100100011100101000100110101010111101111010111111111100000000011111001010001001101010101111011110011111111100000000000000001110110010110010011001010010111111111100000000011011110100100100010100101100100110101010111101111010111111111100000000011101001011001001101010101111011110011111111100000000000000001110010010101010011001010010111111111100000000011101110100100100011100101100100110101010111101111010111111111100000000011111001011001001101010101111011110011111111100000000000000001110110010101010011001010010111111111100000000011001110100100100010100101010100110101010111101111010111111111100000000011101001010101001101010101111011110011111111100000000000000001110010010111010011001010010111111111100000000011110110100100100011100101010100110101010111101111010111111111100000000011111001010101001101010101111011110011111111100000000000000001110110010111010011001010010111111111100000000011010110100100100010100101110100110101010111101111010111111111100000000011101001011101001101010101111011110011111111100000000000000001110010010100110011001010010111111111100000000011100110100100100011100101110100110101010111101111010111111111100000000011111001011101001101010101111011110011111111100000000000000001110110010100110011001010010111111111100000000011000110100100100010100101001100110101010111101111010111111111100000000011101001010011001101010101111011110011111111100000000000000001110010010110110011001010010111111111100000000011111010100100100011100101001100110101010111101111010111111111100000000011111001010011001101010101111011110011111111100000000000000001110110010110110011001010010111111111100000000011011010100100100010100101101100110101010111101111010111111111100000000011101001011011001101010101111011110011111111100000000000000001110010010101110011001010010111111111100000000011101010100100100011100101101100110101010111101111010111111111100000000011111001011011001101010101111011110011111111100000000000000001110110010101110011001010010111111111100000000011001010100100100010100101011100110101010111101111010111111111100000000011101001010111001101010101111011110011111111100000000000000001110010010111110011001010010111111111100000000011110010100100100011100101011100110101010111101111010111111111100000000011111001010111001101010101111011110011111111100000000000000001110110010111110011001010010111111111100000000011010010100100100010100101111100110101010111101111010111111111100000000011101001011111001101010101111011110011111111100000000000000001110010010100001011001010010111111111100000000011100010100100100011100101111100110101010111101111010111111111100000000011111001011111001101010101111011110011111111100000000000000001110110010100001011001010010111111111100000000011000010100100100010100101000010110101010111101111010111111111100000000011101001010000101101010101111011110011111111100000000000000001110010010110001011001010010111111111100000000011111100100100100011100101000010110101010111101111010111111111100000000011111001010000101101010101111011110011111111100000000000000001110110010110001011001010010111111111100000000011011100100100100010100101100010110101010111101111010111111111100000000011101001011000101101010101111011110011111111100000000000000001110010010101001011001010010111111111100000000011101100100100100011100101100010110101010111101111010111111111100000000011111001011000101101010101111011110011111111100000000000000001110110010101001011001010010111111111100000000011001100100100100010100101010010110101010111101111010111111111100000000011101001010100101101010101111011110011111111100000000000000001110010010111001011001010010111111111100000000011110100100100100011100101010010110101010111101111010111111111100000000011111001010100101101010101111011110011111111100000000000000001110110010111001011001010010111111111100000000011010100100100100010100101110010110101010111101111010111111111100000000011101001011100101101010101111011110011111111100000000000000001110010010100101011001010010111111111100000000011100100100100100011100101110010110101010111101111010111111111100000000011111001011100101101010101111011110011111111100000000000000001110110010100101011001010010111111111100000000011000100100100100010100101001010110101010111101111010111111111100000000011101001010010101101010101111011110011111111100000000000000001110010010110101011001010010111111111100000000011111000100100100011100101001010110101010111101111010111111111100000000011111001010010101101010101111011110011111111100000000000000001110110010110101011001010010111111111100000000011011000100100100010100101101010110101010111101111010111111111100000000011101001011010101101010101111011110011111111100000000000000001110010010101101011001010010111111111100000000011101000100100100011100101101010110101010111101111010111111111100000000011111001011010101101010101111011110011111111100000000000000001110110010101101011001010010111111111100000000011001000100100100010100101011010110101010111101111010111111111100000000011101001010110101101010101111011110011111111100000000000000001110010010111101011001010010111111111100000000011110000100100100011100101011010110101010111101111010111111111100000000011111001010110101101010101111011110011111111100000000000000001110110010111101011001010010111111111100000000011010000100100100010100101111010110101010111101111010111111111100000000011101001011110101101010101111011110011111111100000000000000001110010010100011011001010010111111111100000000011100000100100100011100101111010110101010111101111010111111111100000000011111001011110101101010101111011110011111111100000000000000001110110010100011011001010010111111111100000000011000000100100100010100101000110110101010111101111010111111111100000000011101001010001101101010101111011110011111111100000000000000001110010010110011011001010010111111111100000000011111111000100100011100101000110110101010111101111010111111111100000000011111001010001101101010101111011110011111111100000000000000001110110010110011011001010010111111111100000000011011111000100100010100101100110110101010111101111010111111111100000000011101001011001101101010101111011110011111111100000000000000001110010010101011011001010010111111111100000000011101111000100100011100101100110110101010111101111010111111111100000000011111001011001101101010101111011110011111111100000000000000001110110010101011011001010010111111111100000000011001111000100100010100101010110110101010111101111010111111111100000000011101001010101101101010101111011110011111111100000000000000001110010010111011011001010010111111111100000000011110111000100100011100101010110110101010111101111010111111111100000000011111001010101101101010101111011110011111111100000000000000001110110010111011011001010010111111111100000000011010111000100100010100101110110110101010111101111010111111111100000000011101001011101101101010101111011110011111111100000000000000001110010010100111011001010010111111111100000000011100111000100100011100101110110110101010111101111010111111111100000000011111001011101101101010101111011110011111111100000000000000001110110010100111011001010010111111111100000000011000111000100100010100101001110110101010111101111010111111111100000000011101001010011101101010101111011110011111111100000000000000001110010010110111011001010010111111111100000000011111011000100100011100101001110110101010111101111010111111111100000000011111001010011101101010101111011110011111111100000000000000001110110010110111011001010010111111111100000000011011011000100100010100101101110110101010111101111010111111111100000000011101001011011101101010101111011110011111111100000000000000001110010010101111011001010010111111111100000000011101011000100100011100101101110110101010111101111010111111111100000000011111001011011101101010101111011110011111111100000000000000001110110010101111011001010010111111111100000000011001011000100100010100101011110110101010111101111010111111111100000000011101001010111101101010101111011110011111111100000000000000001110010010111111011001010010111111111100000000011110011000100100011100101011110110101010111101111010111111111100000000011111001010111101101010101111011110011111111100000000000000001110110010111111011001010010111111111100000000011010011000100100010100101111110110101010111101111010111111111100000000011101001011111101101010101111011110011111111100000000000000001110010010100000111001010010111111111100000000011100011000100100011100101111110110101010111101111010111111111100000000011111001011111101101010101111011110011111111100000000000000001110110010100000111001010010111111111100000000011000011000100100010100101000001110101010111101111010111111111100000000011101001010000011101010101111011110011111111100000000000000001110010010110000111001010010111111111100000000011111101000100100011100101000001110101010111101111010111111111100000000011111001010000011101010101111011110011111111100000000000000001110110010110000111001010010111111111100000000011011101000100100010100101100001110101010111101111010111111111100000000011101001011000011101010101111011110011111111100000000000000001110010010101000111001010010111111111100000000011101101000100100011100101100001110101010111101111010111111111100000000011111001011000011101010101111011110011111111100000000000000001110110010101000111001010010111111111100000000011001101000100100010100101010001110101010111101111010111111111100000000011101001010100011101010101111011110011111111100000000000000001110010010111000111001010010111111111100000000011110101000100100011100101010001110101010111101111010111111111100000000011111001010100011101010101111011110011111111100000000000000001110110010111000111001010010111111111100000000011010101000100100010100101110001110101010111101111010111111111100000000011101001011100011101010101111011110011111111100000000000000001110010010100100111001010010111111111100000000011100101000100100011100101110001110101010111101111010111111111100000000011111001011100011101010101111011110011111111100000000000000001110110010100100111001010010111111111100000000011000101000100100010100101001001110101010111101111010111111111100000000011101001010010011101010101111011110011111111100000000000000001110010010110100111001010010111111111100000000011111001000100100011100101001001110101010111101111010111111111100000000011111001010010011101010101111011110011111111100000000000000001110110010110100111001010010111111111100000000011011001000100100010100101101001110101010111101111010111111111100000000011101001011010011101010101111011110011111111100000000000000001110010010101100111001010010111111111100000000011101001000100100011100101101001110101010111101111010111111111100000000011111001011010011101010101111011110011111111100000000000000001110110010101100111001010010111111111100000000011001001000100100010100101011001110101010111101111010111111111100000000011101001010110011101010101111011110011111111100000000000000001110010010111100111001010010111111111100000000011110001000100100011100101011001110101010111101111010111111111100000000011111001010110011101010101111011110011111111100000000000000001110110010111100111001010010111111111100000000011010001000100100010100101111001110101010111101111010111111111100000000011101001011110011101010101111011110011111111100000000000000001110010010100010111001010010111111111100000000011100001000100100011100101111001110101010111101111010111111111100000000011111001011110011101010101111011110011111111100000000000000001110110010100010111001010010111111111100000000011000001000100100010100101000101110101010111101111010111111111100000000011101001010001011101010101111011110011111111100000000000000001110010010110010111001010010111111111100000000011111110000100100011100101000101110101010111101111010111111111100000000011111001010001011101010101111011110011111111100000000000000001110110010110010111001010010111111111100000000011011110000100100010100101100101110101010111101111010111111111100000000011101001011001011101010101111011110011111111100000000000000001110010010101010111001010010111111111100000000011101110000100100011100101100101110101010111101111010111111111100000000011111001011001011101010101111011110011111111100000000000000001110110010101010111001010010111111111100000000011001110000100100010100101010101110101010111101111010111111111100000000011101001010101011101010101111011110011111111100000000000000001110010010111010111001010010111111111100000000011110110000100100011100101010101110101010111101111010111111111100000000011111001010101011101010101111011110011111111100000000000000001110110010111010111001010010111111111100000000011010110000100100010100101110101110101010111101111010111111111100000000011101001011101011101010101111011110011111111100000000000000001110010010100110111001010010111111111100000000011100110000100100011100101110101110101010111101111010111111111100000000011111001011101011101010101111011110011111111100000000000000001110110010100110111001010010111111111100000000011000110000100100010100101001101110101010111101111010111111111100000000011101001010011011101010101111011110011111111100000000000000001110010010110110111001010010111111111100000000011111010000100100011100101001101110101010111101111010111111111100000000011111001010011011101010101111011110011111111100000000000000001110110010110110111001010010111111111100000000011011010000100100010100101101101110101010111101111010111111111100000000011101001011011011101010101111011110011111111100000000000000001110010010101110111001010010111111111100000000011101010000100100011100101101101110101010111101111010111111111100000000011111001011011011101010101111011110011111111100000000000000001110110010101110111001010010111111111100000000011001010000100100010100101011101110101010111101111010111111111100000000011101001010111011101010101111011110011111111100000000000000001110010010111110111001010010111111111100000000011110010000100100011100101011101110101010111101111010111111111100000000011111001010111011101010101111011110011111111100000000000000001110110010111110111001010010111111111100000000011010010000100100010100101111101110101010111101111010111111111100000000011101001011111011101010101111011110011111111100000000000000001110010010100001111001010010111111111100000000011100010000100100011100101111101110101010111101111010111111111100000000011111001011111011101010101111011110011111111100000000000000001110110010100001111001010010111111111100000000011000010000100100010100101000011110101010111101111010111111111100000000011101001010000111101010101111011110011111111100000000000000001110010010110001111001010010111111111100000000011111100000100100011100101000011110101010111101111010111111111100000000011111001010000111101010101111011110011111111100000000000000001110110010110001111001010010111111111100000000011011100000100100010100101100011110101010111101111010111111111100000000011101001011000111101010101111011110011111111100000000000000001110010010101001111001010010111111111100000000011101100000100100011100101100011110101010111101111010111111111100000000011111001011000111101010101111011110011111111100000000000000001110110010101001111001010010111111111100000000011001100000100100010100101010011110101010111101111010111111111100000000011101001010100111101010101111011110011111111100000000000000001110010010111001111001010010111111111100000000011110100000100100011100101010011110101010111101111010111111111100000000011111001010100111101010101111011110011111111100000000000000001110110010111001111001010010111111111100000000011010100000100100010100101110011110101010111101111010111111111100000000011101001011100111101010101111011110011111111100000000000000001110010010100101111001010010111111111100000000011100100000100100011100101110011110101010111101111010111111111100000000011111001011100111101010101111011110011111111100000000000000001110110010100101111001010010111111111100000000011000100000100100010100101001011110101010111101111010111111111100000000011101001010010111101010101111011110011111111100000000000000001110010010110101111001010010111111111100000000011111000000100100011100101001011110101010111101111010111111111100000000011111001010010111101010101111011110011111111100000000000000001110110010110101111001010010111111111100000000011011000000100100010100101101011110101010111101111010111111111100000000011101001011010111101010101111011110011111111100000000000000001110010010101101111001010010111111111100000000011101000000100100011100101101011110101010111101111010111111111100000000011111001011010111101010101111011110011111111100000000000000001110110010101101111001010010111111111100000000011001000000100100010100101011011110101010111101111010111111111100000000011101001010110111101010101111011110011111111100000000000000001110010010111101111000000010111111111100000000011110000000101100011100101011011110101010111101111010111111111100000000011111001010110111101010101111011110011111111100000000000000001110110010111101111001010010111111111100000000011010000000100100010100101111011110101010111101111010111111111100000000011101001011110111101010101111011110011111111100000000000000001110010010100011110001010010111111111100000000011100000000100100011100101111011110101010111101111010000000000001000000000111001011110111101010101111011110011111111100000000000000001110110010100011110001010010111111111100000000011000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000101110110010100011110000010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001110100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000100110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110110010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110001010100011110001010010000000000001000000000000000001100100010100101000111110101010111101111000000000000001000000000111001011110111101010101111011110111111111100000000000000001110101010111101111001010010000000000001000000000000000001100100010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100100010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100000000000000001110101010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001010101010101111011110011111111100000000000000001110001010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001010101010101111011110011111111100000000000000001110101010110010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001010101010101111011110011111111100000000000000001110001010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001010101010101111011110011111111100000000000000001110101010101010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101010101010101111011110011111111100000000000000001110001010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101010101010101111011110011111111100000000000000001110101010111010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101010101010101111011110011111111100000000000000001110001010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101010101010101111011110011111111100000000000000001110101010100110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011010101010101111011110011111111100000000000000001110001010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011010101010101111011110011111111100000000000000001110101010110110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011010101010101111011110011111111100000000000000001110001010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011010101010101111011110011111111100000000000000001110101010101110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111010101010101111011110011111111100000000000000001110001010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111010101010101111011110011111111100000000000000001110101010111110101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111010101010101111011110011111111100000000000000001110001010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111010101010101111011110011111111100000000000000001110101010100001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000110101010101111011110011111111100000000000000001110001010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000110101010101111011110011111111100000000000000001110101010110001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000110101010101111011110011111111100000000000000001110001010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000110101010101111011110011111111100000000000000001110101010101001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100110101010101111011110011111111100000000000000001110001010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100110101010101111011110011111111100000000000000001110101010111001101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100110101010101111011110011111111100000000000000001110001010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100110101010101111011110011111111100000000000000001110101010100101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010110101010101111011110011111111100000000000000001110001010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010110101010101111011110011111111100000000000000001110101010110101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010110101010101111011110011111111100000000000000001110001010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010110101010101111011110011111111100000000000000001110101010101101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110110101010101111011110011111111100000000000000001110001010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110110101010101111011110011111111100000000000000001110101010111101101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110110101010101111011110011111111100000000000000001110001010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110110101010101111011110011111111100000000000000001110101010100011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001110101010101111011110011111111100000000000000001110001010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001110101010101111011110011111111100000000000000001110101010110011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001110101010101111011110011111111100000000000000001110001010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001110101010101111011110011111111100000000000000001110101010101011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101110101010101111011110011111111100000000000000001110001010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101110101010101111011110011111111100000000000000001110101010111011101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101110101010101111011110011111111100000000000000001110001010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101110101010101111011110011111111100000000000000001110101010100111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011110101010101111011110011111111100000000000000001110001010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011110101010101111011110011111111100000000000000001110101010110111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011110101010101111011110011111111100000000000000001110001010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011110101010101111011110011111111100000000000000001110101010101111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111110101010101111011110011111111100000000000000001110001010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111110101010101111011110011111111100000000000000001110101010111111101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111110101010101111011110011111111100000000000000001110001010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111110101010101111011110011111111100000000000000001110101010100000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000001101010101111011110011111111100000000000000001110001010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000001101010101111011110011111111100000000000000001110101010110000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000001101010101111011110011111111100000000000000001110001010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000001101010101111011110011111111100000000000000001110101010101000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100001101010101111011110011111111100000000000000001110001010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100001101010101111011110011111111100000000000000001110101010111000011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100001101010101111011110011111111100000000000000001110001010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100001101010101111011110011111111100000000000000001110101010100100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010001101010101111011110011111111100000000000000001110001010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010001101010101111011110011111111100000000000000001110101010110100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010001101010101111011110011111111100000000000000001110001010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010001101010101111011110011111111100000000000000001110101010101100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110001101010101111011110011111111100000000000000001110001010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110001101010101111011110011111111100000000000000001110101010111100011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110001101010101111011110011111111100000000000000001110001010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110001101010101111011110011111111100000000000000001110101010100010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001001101010101111011110011111111100000000000000001110001010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001001101010101111011110011111111100000000000000001110101010110010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001001101010101111011110011111111100000000000000001110001010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001001101010101111011110011111111100000000000000001110101010101010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101001101010101111011110011111111100000000000000001110001010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101001101010101111011110011111111100000000000000001110101010111010011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101001101010101111011110011111111100000000000000001110001010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101001101010101111011110011111111100000000000000001110101010100110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011001101010101111011110011111111100000000000000001110001010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011001101010101111011110011111111100000000000000001110101010110110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011001101010101111011110011111111100000000000000001110001010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011001101010101111011110011111111100000000000000001110101010101110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111001101010101111011110011111111100000000000000001110001010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111001101010101111011110011111111100000000000000001110101010111110011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111001101010101111011110011111111100000000000000001110001010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111001101010101111011110011111111100000000000000001110101010100001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000101101010101111011110011111111100000000000000001110001010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000101101010101111011110011111111100000000000000001110101010110001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000101101010101111011110011111111100000000000000001110001010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000101101010101111011110011111111100000000000000001110101010101001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100101101010101111011110011111111100000000000000001110001010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100101101010101111011110011111111100000000000000001110101010111001011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100101101010101111011110011111111100000000000000001110001010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100101101010101111011110011111111100000000000000001110101010100101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010101101010101111011110011111111100000000000000001110001010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010101101010101111011110011111111100000000000000001110101010110101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010101101010101111011110011111111100000000000000001110001010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010101101010101111011110011111111100000000000000001110101010101101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110101101010101111011110011111111100000000000000001110001010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110101101010101111011110011111111100000000000000001110101010111101011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110101101010101111011110011111111100000000000000001110001010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110101101010101111011110011111111100000000000000001110101010100011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001101101010101111011110011111111100000000000000001110001010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001101101010101111011110011111111100000000000000001110101010110011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001101101010101111011110011111111100000000000000001110001010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001101101010101111011110011111111100000000000000001110101010101011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101101101010101111011110011111111100000000000000001110001010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101101101010101111011110011111111100000000000000001110101010111011011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101101101010101111011110011111111100000000000000001110001010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101101101010101111011110011111111100000000000000001110101010100111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011101101010101111011110011111111100000000000000001110001010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011101101010101111011110011111111100000000000000001110101010110111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011101101010101111011110011111111100000000000000001110001010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011101101010101111011110011111111100000000000000001110101010101111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111101101010101111011110011111111100000000000000001110001010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111101101010101111011110011111111100000000000000001110101010111111011001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111101101010101111011110011111111100000000000000001110001010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111101101010101111011110011111111100000000000000001110101010100000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000011101010101111011110011111111100000000000000001110001010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000011101010101111011110011111111100000000000000001110101010110000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000011101010101111011110011111111100000000000000001110001010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000011101010101111011110011111111100000000000000001110101010101000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100011101010101111011110011111111100000000000000001110001010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100011101010101111011110011111111100000000000000001110101010111000111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100011101010101111011110011111111100000000000000001110001010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100011101010101111011110011111111100000000000000001110101010100100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010011101010101111011110011111111100000000000000001110001010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010011101010101111011110011111111100000000000000001110101010110100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010011101010101111011110011111111100000000000000001110001010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010011101010101111011110011111111100000000000000001110101010101100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110011101010101111011110011111111100000000000000001110001010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110011101010101111011110011111111100000000000000001110101010111100111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110011101010101111011110011111111100000000000000001110001010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110011101010101111011110011111111100000000000000001110101010100010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001011101010101111011110011111111100000000000000001110001010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001011101010101111011110011111111100000000000000001110101010110010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001011101010101111011110011111111100000000000000001110001010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001011101010101111011110011111111100000000000000001110101010101010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101011101010101111011110011111111100000000000000001110001010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101011101010101111011110011111111100000000000000001110101010111010111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101011101010101111011110011111111100000000000000001110001010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101011101010101111011110011111111100000000000000001110101010100110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011011101010101111011110011111111100000000000000001110001010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011011101010101111011110011111111100000000000000001110101010110110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011011101010101111011110011111111100000000000000001110001010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011011101010101111011110011111111100000000000000001110101010101110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111011101010101111011110011111111100000000000000001110001010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111011101010101111011110011111111100000000000000001110101010111110111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111011101010101111011110011111111100000000000000001110001010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111011101010101111011110011111111100000000000000001110101010100001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000111101010101111011110011111111100000000000000001110001010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000111101010101111011110011111111100000000000000001110101010110001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000111101010101111011110011111111100000000000000001110001010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000111101010101111011110011111111100000000000000001110101010101001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100111101010101111011110011111111100000000000000001110001010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100111101010101111011110011111111100000000000000001110101010111001111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100111101010101111011110011111111100000000000000001110001010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100111101010101111011110011111111100000000000000001110101010100101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010111101010101111011110011111111100000000000000001110001010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010111101010101111011110011111111100000000000000001110101010110101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010111101010101111011110011111111100000000000000001110001010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010111101010101111011110011111111100000000000000001110101010101101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110111101010101111011110011111111100000000000000001110001010111101111000000110000000000001000000000111111110101101010100101000111110101010111101111010000000000001000000000110101010110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110111101010101111011110011111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000101110101010111101111000010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110110101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000100110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110001010100011110001010110000000000001000000000111111110100101010100101000111110101010111101111000000000000001000000000110101011110111101010101111011110111111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001111001010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010111101111001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110001010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110111101010101111011110011111111100000000000000001110101010110000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000000101010101111011110011111111100000000000000001110001010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000000101010101111011110011111111100000000000000001110101010101000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100000101010101111011110011111111100000000000000001110001010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100000101010101111011110011111111100000000000000001110101010111000001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100000101010101111011110011111111100000000000000001110001010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100000101010101111011110011111111100000000000000001110101010100100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010000101010101111011110011111111100000000000000001110001010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010000101010101111011110011111111100000000000000001110101010110100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010000101010101111011110011111111100000000000000001110001010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010000101010101111011110011111111100000000000000001110101010101100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110000101010101111011110011111111100000000000000001110001010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110000101010101111011110011111111100000000000000001110101010111100001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110000101010101111011110011111111100000000000000001110001010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110000101010101111011110011111111100000000000000001110101010100010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001000101010101111011110011111111100000000000000001110001010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001000101010101111011110011111111100000000000000001110101010110010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001000101010101111011110011111111100000000000000001110001010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001000101010101111011110011111111100000000000000001110101010101010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101000101010101111011110011111111100000000000000001110001010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101000101010101111011110011111111100000000000000001110101010111010001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101000101010101111011110011111111100000000000000001110001010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101000101010101111011110011111111100000000000000001110101010100110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011000101010101111011110011111111100000000000000001110001010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011000101010101111011110011111111100000000000000001110101010110110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011000101010101111011110011111111100000000000000001110001010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011000101010101111011110011111111100000000000000001110101010101110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111000101010101111011110011111111100000000000000001110001010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111000101010101111011110011111111100000000000000001110101010111110001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111000101010101111011110011111111100000000000000001110001010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111000101010101111011110011111111100000000000000001110101010100001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000100101010101111011110011111111100000000000000001110001010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000100101010101111011110011111111100000000000000001110101010110001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000100101010101111011110011111111100000000000000001110001010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000100101010101111011110011111111100000000000000001110101010101001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100100101010101111011110011111111100000000000000001110001010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100100101010101111011110011111111100000000000000001110101010111001001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100100101010101111011110011111111100000000000000001110001010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100100101010101111011110011111111100000000000000001110101010100101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010100101010101111011110011111111100000000000000001110001010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010100101010101111011110011111111100000000000000001110101010110101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010100101010101111011110011111111100000000000000001110001010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010100101010101111011110011111111100000000000000001110101010101101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110100101010101111011110011111111100000000000000001110001010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110100101010101111011110011111111100000000000000001110101010111101001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110100101010101111011110011111111100000000000000001110001010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110100101010101111011110011111111100000000000000001110101010100011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010001100101010101111011110011111111100000000000000001110001010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010001100101010101111011110011111111100000000000000001110101010110011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011001100101010101111011110011111111100000000000000001110001010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011001100101010101111011110011111111100000000000000001110101010101011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010101100101010101111011110011111111100000000000000001110001010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010101100101010101111011110011111111100000000000000001110101010111011001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011101100101010101111011110011111111100000000000000001110001010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011101100101010101111011110011111111100000000000000001110101010100111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010011100101010101111011110011111111100000000000000001110001010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010011100101010101111011110011111111100000000000000001110101010110111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011011100101010101111011110011111111100000000000000001110001010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011011100101010101111011110011111111100000000000000001110101010101111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010111100101010101111011110011111111100000000000000001110001010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010111100101010101111011110011111111100000000000000001110101010111111001001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011111100101010101111011110011111111100000000000000001110001010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011111100101010101111011110011111111100000000000000001110101010100000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010000010101010101111011110011111111100000000000000001110001010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010000010101010101111011110011111111100000000000000001110101010110000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011000010101010101111011110011111111100000000000000001110001010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011000010101010101111011110011111111100000000000000001110101010101000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010100010101010101111011110011111111100000000000000001110001010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010100010101010101111011110011111111100000000000000001110101010111000101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011100010101010101111011110011111111100000000000000001110001010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011100010101010101111011110011111111100000000000000001110101010100100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010010010101010101111011110011111111100000000000000001110001010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010010010101010101111011110011111111100000000000000001110101010110100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011010010101010101111011110011111111100000000000000001110001010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011010010101010101111011110011111111100000000000000001110101010101100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101010110010101010101111011110011111111100000000000000001110001010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101010110010101010101111011110011111111100000000000000001110101010111100101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000100101011110010101010101111011110011111111100000000000000001110001010100010101001010110000000000001000000000111111110100101010100101000111110101010111101111010000000000001000000000110101011110010101010101111011110011111111100</data>\r
- <extradata>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
- </log>\r
</trigger>\r
</signal_set>\r
<position_info>\r
- <single attribute="active tab" value="0"/>\r
- <single attribute="data horizontal scroll position" value="42"/>\r
+ <single attribute="active tab" value="1"/>\r
+ <single attribute="data horizontal scroll position" value="121"/>\r
<single attribute="data vertical scroll position" value="0"/>\r
<single attribute="setup horizontal scroll position" value="0"/>\r
<single attribute="setup vertical scroll position" value="4"/>\r
<single attribute="zoom level denominator" value="1"/>\r
- <single attribute="zoom level numerator" value="64"/>\r
- <single attribute="zoom offset denominator" value="1"/>\r
- <single attribute="zoom offset numerator" value="2016"/>\r
- <multi attribute="timebars" size="7" value="281,167,128,65,101,114,106"/>\r
+ <single attribute="zoom level numerator" value="32"/>\r
+ <single attribute="zoom offset denominator" value="32"/>\r
+ <single attribute="zoom offset numerator" value="130049"/>\r
</position_info>\r
</instance>\r
<mnemonics/>\r