OSDN Git Service

modelsim env setup ok!!
authorastoria-d <astoria-d@mail.goo.ne.jp>
Sat, 21 Sep 2013 03:28:50 +0000 (12:28 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Sat, 21 Sep 2013 03:28:50 +0000 (12:28 +0900)
now rtl level simulation goes ok.

de1_nes/.gitignore
de1_nes/cpu/decoder.vhd
de1_nes/de1_nes.pin
de1_nes/de1_nes.qsf
de1_nes/de1_nes.qws
de1_nes/de1_nes.vhd
de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do [new file with mode: 0644]
de1_nes/simulation/modelsim/de1_nes_run_msim_rtl_vhdl.do [new file with mode: 0644]

index 686a976..1b61416 100644 (file)
@@ -8,7 +8,15 @@
 *.html\r
 db/*\r
 no-need/*\r
-simulation/*\r
+simulation/modelsim/gate_work/*\r
+simulation/modelsim/rtl_work/*\r
+simulation/modelsim/*.do.bak*\r
+simulation/modelsim/msim_transcript\r
+simulation/modelsim/*.vho\r
+simulation/modelsim/*.xrf\r
+simulation/modelsim/*.sdo\r
+simulation/modelsim/modelsim.ini\r
+simulation/modelsim/vsim.wlf\r
 undo_redo.txt\r
 *.work\r
 *.nes\r
index c87be34..8603144 100644 (file)
@@ -1013,6 +1013,10 @@ end  procedure;
 --        end if;
 
         if (res_n = '0') then\r
+            --pc l/h is reset vector.\r
+            pcl_cmd <= "1110";\r
+            pch_cmd <= "1110";\r
+            next_cycle <= R0;\r
         elsif (set_clk'event and set_clk = '1' and res_n = '1') then\r
             d_print(string'("-"));
 
index 71d4d14..878180a 100644 (file)
@@ -75,23 +75,23 @@ Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage
 -------------------------------------------------------------------------------------------------------------\r
 GND                          : A1        : gnd    :                   :         :           :                \r
 VCCIO3                       : A2        : power  :                   : 3.3V    : 3         :                \r
-r[0]                         : A3        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : A3        :        :                   :         : 3         :                \r
 GND*                         : A4        :        :                   :         : 3         :                \r
 GND*                         : A5        :        :                   :         : 3         :                \r
 GND*                         : A6        :        :                   :         : 3         :                \r
 GND*                         : A7        :        :                   :         : 3         :                \r
-GND*                         : A8        :        :                   :         : 3         :                \r
+dbg_d_io[3]                  : A8        : output : 3.3-V LVTTL       :         : 3         : N              \r
 GND*                         : A9        :        :                   :         : 3         :                \r
 GND*                         : A10       :        :                   :         : 3         :                \r
-vga_clk                      : A11       : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : A11       :        :                   :         : 3         :                \r
 GND+                         : A12       :        :                   :         : 4         :                \r
 GND*                         : A13       :        :                   :         : 4         :                \r
 GND*                         : A14       :        :                   :         : 4         :                \r
-GND*                         : A15       :        :                   :         : 4         :                \r
-dbg_ppu_clk                  : A16       : output : 3.3-V LVTTL       :         : 4         : N              \r
+dbg_addr[15]                 : A15       : output : 3.3-V LVTTL       :         : 4         : N              \r
+GND*                         : A16       :        :                   :         : 4         :                \r
 GND*                         : A17       :        :                   :         : 4         :                \r
 GND*                         : A18       :        :                   :         : 4         :                \r
-GND*                         : A19       :        :                   :         : 4         :                \r
+r[0]                         : A19       : output : 3.3-V LVTTL       :         : 4         : N              \r
 GND*                         : A20       :        :                   :         : 4         :                \r
 VCCIO4                       : A21       : power  :                   : 3.3V    : 4         :                \r
 GND                          : A22       : gnd    :                   :         :           :                \r
@@ -99,86 +99,86 @@ VCCIO1                       : AA1       : power  :                   : 3.3V
 GND                          : AA2       : gnd    :                   :         :           :                \r
 GND*                         : AA3       :        :                   :         : 8         :                \r
 GND*                         : AA4       :        :                   :         : 8         :                \r
-g[0]                         : AA5       : output : 3.3-V LVTTL       :         : 8         : N              \r
-g[3]                         : AA6       : output : 3.3-V LVTTL       :         : 8         : N              \r
-GND*                         : AA7       :        :                   :         : 8         :                \r
-dbg_addr[3]                  : AA8       : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : AA5       :        :                   :         : 8         :                \r
+GND*                         : AA6       :        :                   :         : 8         :                \r
+dbg_vram_ad[4]               : AA7       : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : AA8       :        :                   :         : 8         :                \r
 GND*                         : AA9       :        :                   :         : 8         :                \r
 GND*                         : AA10      :        :                   :         : 8         :                \r
-joypad2[3]                   : AA11      : input  : 3.3-V LVTTL       :         : 8         : N              \r
-joypad1[2]                   : AA12      : input  : 3.3-V LVTTL       :         : 7         : N              \r
+GND*                         : AA11      :        :                   :         : 8         :                \r
+GND*                         : AA12      :        :                   :         : 7         :                \r
 GND*                         : AA13      :        :                   :         : 7         :                \r
 GND*                         : AA14      :        :                   :         : 7         :                \r
-h_sync_n                     : AA15      : output : 3.3-V LVTTL       :         : 7         : N              \r
-GND*                         : AA16      :        :                   :         : 7         :                \r
-dbg_addr[11]                 : AA17      : output : 3.3-V LVTTL       :         : 7         : N              \r
+GND*                         : AA15      :        :                   :         : 7         :                \r
+b[0]                         : AA16      : output : 3.3-V LVTTL       :         : 7         : N              \r
+joypad1[2]                   : AA17      : input  : 3.3-V LVTTL       :         : 7         : N              \r
 GND*                         : AA18      :        :                   :         : 7         :                \r
-joypad2[6]                   : AA19      : input  : 3.3-V LVTTL       :         : 7         : N              \r
+dbg_addr[5]                  : AA19      : output : 3.3-V LVTTL       :         : 7         : N              \r
 GND*                         : AA20      :        :                   :         : 7         :                \r
 GND                          : AA21      : gnd    :                   :         :           :                \r
 VCCIO6                       : AA22      : power  :                   : 3.3V    : 6         :                \r
 GND                          : AB1       : gnd    :                   :         :           :                \r
 VCCIO8                       : AB2       : power  :                   : 3.3V    : 8         :                \r
-joypad1[3]                   : AB3       : input  : 3.3-V LVTTL       :         : 8         : N              \r
-GND*                         : AB4       :        :                   :         : 8         :                \r
+GND*                         : AB3       :        :                   :         : 8         :                \r
+h_sync_n                     : AB4       : output : 3.3-V LVTTL       :         : 8         : N              \r
 GND*                         : AB5       :        :                   :         : 8         :                \r
-joypad1[5]                   : AB6       : input  : 3.3-V LVTTL       :         : 8         : N              \r
-dbg_d_io[3]                  : AB7       : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : AB6       :        :                   :         : 8         :                \r
+GND*                         : AB7       :        :                   :         : 8         :                \r
 GND*                         : AB8       :        :                   :         : 8         :                \r
-dbg_vram_ad[3]               : AB9       : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : AB9       :        :                   :         : 8         :                \r
 GND*                         : AB10      :        :                   :         : 8         :                \r
 GND*                         : AB11      :        :                   :         : 8         :                \r
-dbg_d_io[5]                  : AB12      : output : 3.3-V LVTTL       :         : 7         : N              \r
-joypad1[0]                   : AB13      : input  : 3.3-V LVTTL       :         : 7         : N              \r
+GND*                         : AB12      :        :                   :         : 7         :                \r
+GND*                         : AB13      :        :                   :         : 7         :                \r
 GND*                         : AB14      :        :                   :         : 7         :                \r
 GND*                         : AB15      :        :                   :         : 7         :                \r
-dbg_addr[4]                  : AB16      : output : 3.3-V LVTTL       :         : 7         : N              \r
+GND*                         : AB16      :        :                   :         : 7         :                \r
 GND*                         : AB17      :        :                   :         : 7         :                \r
-joypad2[5]                   : AB18      : input  : 3.3-V LVTTL       :         : 7         : N              \r
+g[2]                         : AB18      : output : 3.3-V LVTTL       :         : 7         : N              \r
 GND*                         : AB19      :        :                   :         : 7         :                \r
 GND*                         : AB20      :        :                   :         : 7         :                \r
 VCCIO7                       : AB21      : power  :                   : 3.3V    : 7         :                \r
 GND                          : AB22      : gnd    :                   :         :           :                \r
 VCCIO2                       : B1        : power  :                   : 3.3V    : 2         :                \r
 GND                          : B2        : gnd    :                   :         :           :                \r
-GND*                         : B3        :        :                   :         : 3         :                \r
-r[3]                         : B4        : output : 3.3-V LVTTL       :         : 3         : N              \r
+dbg_addr[2]                  : B3        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : B4        :        :                   :         : 3         :                \r
 GND*                         : B5        :        :                   :         : 3         :                \r
 GND*                         : B6        :        :                   :         : 3         :                \r
-dbg_vram_a[9]                : B7        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : B7        :        :                   :         : 3         :                \r
 GND*                         : B8        :        :                   :         : 3         :                \r
-dbg_vram_ad[2]               : B9        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : B9        :        :                   :         : 3         :                \r
 GND*                         : B10       :        :                   :         : 3         :                \r
-GND*                         : B11       :        :                   :         : 3         :                \r
+joypad2[6]                   : B11       : input  : 3.3-V LVTTL       :         : 3         : N              \r
 GND+                         : B12       :        :                   :         : 4         :                \r
 GND*                         : B13       :        :                   :         : 4         :                \r
 GND*                         : B14       :        :                   :         : 4         :                \r
 GND*                         : B15       :        :                   :         : 4         :                \r
-dbg_cpu_clk                  : B16       : output : 3.3-V LVTTL       :         : 4         : N              \r
+GND*                         : B16       :        :                   :         : 4         :                \r
 GND*                         : B17       :        :                   :         : 4         :                \r
-GND*                         : B18       :        :                   :         : 4         :                \r
+joypad1[0]                   : B18       : input  : 3.3-V LVTTL       :         : 4         : N              \r
 GND*                         : B19       :        :                   :         : 4         :                \r
 GND*                         : B20       :        :                   :         : 4         :                \r
 GND                          : B21       : gnd    :                   :         :           :                \r
 VCCIO5                       : B22       : power  :                   : 3.3V    : 5         :                \r
-dbg_addr[7]                  : C1        : output : 3.3-V LVTTL       :         : 2         : N              \r
-GND*                         : C2        :        :                   :         : 2         :                \r
+GND*                         : C1        :        :                   :         : 2         :                \r
+dbg_vram_a[9]                : C2        : output : 3.3-V LVTTL       :         : 2         : N              \r
 ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3        : input  : 3.3-V LVTTL       :         : 2         : N              \r
 ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4        : input  : 3.3-V LVTTL       :         : 2         : N              \r
 GND                          : C5        : gnd    :                   :         :           :                \r
 VCCIO3                       : C6        : power  :                   : 3.3V    : 3         :                \r
-b[2]                         : C7        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : C7        :        :                   :         : 3         :                \r
 GND                          : C8        : gnd    :                   :         :           :                \r
 GND*                         : C9        :        :                   :         : 3         :                \r
-GND*                         : C10       :        :                   :         : 3         :                \r
+g[1]                         : C10       : output : 3.3-V LVTTL       :         : 3         : N              \r
 VCCIO3                       : C11       : power  :                   : 3.3V    : 3         :                \r
 VCCIO4                       : C12       : power  :                   : 3.3V    : 4         :                \r
-dbg_vram_a[13]               : C13       : output : 3.3-V LVTTL       :         : 4         : N              \r
+GND*                         : C13       :        :                   :         : 4         :                \r
 GND*                         : C14       :        :                   :         : 4         :                \r
 GND                          : C15       : gnd    :                   :         :           :                \r
 GND*                         : C16       :        :                   :         : 4         :                \r
-GND*                         : C17       :        :                   :         : 4         :                \r
-GND*                         : C18       :        :                   :         : 4         :                \r
+b[2]                         : C17       : output : 3.3-V LVTTL       :         : 4         : N              \r
+joypad2[0]                   : C18       : input  : 3.3-V LVTTL       :         : 4         : N              \r
 GND*                         : C19       :        :                   :         : 5         :                \r
 GND*                         : C20       :        :                   :         : 5         :                \r
 GND*                         : C21       :        :                   :         : 5         :                \r
@@ -186,17 +186,17 @@ GND*                         : C22       :        :                   :
 GND*                         : D1        :        :                   :         : 2         :                \r
 GND*                         : D2        :        :                   :         : 2         :                \r
 GND*                         : D3        :        :                   :         : 2         :                \r
-g[2]                         : D4        : output : 3.3-V LVTTL       :         : 2         : N              \r
-GND*                         : D5        :        :                   :         : 2         :                \r
-GND*                         : D6        :        :                   :         : 2         :                \r
+GND*                         : D4        :        :                   :         : 2         :                \r
+joypad1[4]                   : D5        : input  : 3.3-V LVTTL       :         : 2         : N              \r
+r[2]                         : D6        : output : 3.3-V LVTTL       :         : 2         : N              \r
 GND*                         : D7        :        :                   :         : 3         :                \r
 GND*                         : D8        :        :                   :         : 3         :                \r
-dbg_d_io[7]                  : D9        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : D9        :        :                   :         : 3         :                \r
 GND                          : D10       : gnd    :                   :         :           :                \r
 GND*                         : D11       :        :                   :         : 3         :                \r
 base_clk                     : D12       : input  : 3.3-V LVTTL       :         : 3         : Y              \r
 GND                          : D13       : gnd    :                   :         :           :                \r
-joypad1[6]                   : D14       : input  : 3.3-V LVTTL       :         : 4         : N              \r
+GND*                         : D14       :        :                   :         : 4         :                \r
 GND*                         : D15       :        :                   :         : 4         :                \r
 GND*                         : D16       :        :                   :         : 4         :                \r
 VCCIO4                       : D17       : power  :                   : 3.3V    : 4         :                \r
@@ -205,21 +205,21 @@ GND*                         : D19       :        :                   :
 GND*                         : D20       :        :                   :         : 5         :                \r
 GND*                         : D21       :        :                   :         : 5         :                \r
 GND*                         : D22       :        :                   :         : 5         :                \r
-GND*                         : E1        :        :                   :         : 2         :                \r
+vga_clk                      : E1        : output : 3.3-V LVTTL       :         : 2         : N              \r
 GND*                         : E2        :        :                   :         : 2         :                \r
-dbg_addr[1]                  : E3        : output : 3.3-V LVTTL       :         : 2         : N              \r
-joypad1[7]                   : E4        : input  : 3.3-V LVTTL       :         : 2         : N              \r
+dbg_vram_ad[7]               : E3        : output : 3.3-V LVTTL       :         : 2         : N              \r
+GND*                         : E4        :        :                   :         : 2         :                \r
 VCCD_PLL3                    : E5        : power  :                   : 1.2V    :           :                \r
 VCCA_PLL3                    : E6        : power  :                   : 1.2V    :           :                \r
 GND*                         : E7        :        :                   :         : 3         :                \r
 GND*                         : E8        :        :                   :         : 3         :                \r
-dbg_vram_ad[1]               : E9        : output : 3.3-V LVTTL       :         : 3         : N              \r
+dbg_addr[12]                 : E9        : output : 3.3-V LVTTL       :         : 3         : N              \r
 VCCIO3                       : E10       : power  :                   : 3.3V    : 3         :                \r
-dbg_d_io[6]                  : E11       : output : 3.3-V LVTTL       :         : 3         : N              \r
+dbg_d_io[4]                  : E11       : output : 3.3-V LVTTL       :         : 3         : N              \r
 GND+                         : E12       :        :                   :         : 3         :                \r
 VCCIO4                       : E13       : power  :                   : 3.3V    : 4         :                \r
-joypad2[0]                   : E14       : input  : 3.3-V LVTTL       :         : 4         : N              \r
-GND*                         : E15       :        :                   :         : 4         :                \r
+GND*                         : E14       :        :                   :         : 4         :                \r
+dbg_vram_a[13]               : E15       : output : 3.3-V LVTTL       :         : 4         : N              \r
 GNDA_PLL2                    : E16       : gnd    :                   :         :           :                \r
 GND_PLL2                     : E17       : gnd    :                   :         :           :                \r
 GND*                         : E18       :        :                   :         : 5         :                \r
@@ -227,8 +227,8 @@ GND*                         : E19       :        :                   :
 GND*                         : E20       :        :                   :         : 5         :                \r
 GND*                         : E21       :        :                   :         : 5         :                \r
 GND*                         : E22       :        :                   :         : 5         :                \r
-GND*                         : F1        :        :                   :         : 2         :                \r
-dbg_addr[8]                  : F2        : output : 3.3-V LVTTL       :         : 2         : N              \r
+r[1]                         : F1        : output : 3.3-V LVTTL       :         : 2         : N              \r
+GND*                         : F2        :        :                   :         : 2         :                \r
 GND*                         : F3        :        :                   :         : 2         :                \r
 GND*                         : F4        :        :                   :         : 2         :                \r
 GND_PLL3                     : F5        : gnd    :                   :         :           :                \r
@@ -237,16 +237,16 @@ GNDA_PLL3                    : F7        : gnd    :                   :
 GND*                         : F8        :        :                   :         : 3         :                \r
 GND*                         : F9        :        :                   :         : 3         :                \r
 GND*                         : F10       :        :                   :         : 3         :                \r
-dbg_vram_a[8]                : F11       : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : F11       :        :                   :         : 3         :                \r
 GND*                         : F12       :        :                   :         : 4         :                \r
-GND*                         : F13       :        :                   :         : 4         :                \r
-GND*                         : F14       :        :                   :         : 4         :                \r
-dbg_addr[9]                  : F15       : output : 3.3-V LVTTL       :         : 4         : N              \r
+dbg_vram_ad[1]               : F13       : output : 3.3-V LVTTL       :         : 4         : N              \r
+dbg_addr[10]                 : F14       : output : 3.3-V LVTTL       :         : 4         : N              \r
+GND*                         : F15       :        :                   :         : 4         :                \r
 VCCA_PLL2                    : F16       : power  :                   : 1.2V    :           :                \r
 VCCD_PLL2                    : F17       : power  :                   : 1.2V    :           :                \r
 GND_PLL2                     : F18       : gnd    :                   :         :           :                \r
 GND                          : F19       : gnd    :                   :         :           :                \r
-dbg_addr[15]                 : F20       : output : 3.3-V LVTTL       :         : 5         : N              \r
+dbg_d_io[0]                  : F20       : output : 3.3-V LVTTL       :         : 5         : N              \r
 GND*                         : F21       :        :                   :         : 5         :                \r
 GND*                         : F22       :        :                   :         : 5         :                \r
 NC                           : G1        :        :                   :         :           :                \r
@@ -259,44 +259,44 @@ GND*                         : G7        :        :                   :
 GND*                         : G8        :        :                   :         : 3         :                \r
 VCCIO3                       : G9        : power  :                   : 3.3V    : 3         :                \r
 GND                          : G10       : gnd    :                   :         :           :                \r
-dbg_addr[14]                 : G11       : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : G11       :        :                   :         : 3         :                \r
 GND*                         : G12       :        :                   :         : 4         :                \r
 GND                          : G13       : gnd    :                   :         :           :                \r
 VCCIO4                       : G14       : power  :                   : 3.3V    : 4         :                \r
 GND*                         : G15       :        :                   :         : 4         :                \r
 GND*                         : G16       :        :                   :         : 4         :                \r
 GND*                         : G17       :        :                   :         : 5         :                \r
-GND*                         : G18       :        :                   :         : 5         :                \r
+joypad1[5]                   : G18       : input  : 3.3-V LVTTL       :         : 5         : N              \r
 VCCIO5                       : G19       : power  :                   : 3.3V    : 5         :                \r
 GND*                         : G20       :        :                   :         : 5         :                \r
 GND*                         : G21       :        :                   :         : 5         :                \r
 GND*                         : G22       :        :                   :         : 5         :                \r
-dbg_vram_a[11]               : H1        : output : 3.3-V LVTTL       :         : 2         : N              \r
-GND*                         : H2        :        :                   :         : 2         :                \r
+GND*                         : H1        :        :                   :         : 2         :                \r
+g[3]                         : H2        : output : 3.3-V LVTTL       :         : 2         : N              \r
 GND*                         : H3        :        :                   :         : 2         :                \r
-GND*                         : H4        :        :                   :         : 2         :                \r
+v_sync_n                     : H4        : output : 3.3-V LVTTL       :         : 2         : N              \r
 GND*                         : H5        :        :                   :         : 2         :                \r
 GND*                         : H6        :        :                   :         : 2         :                \r
-dbg_addr[10]                 : H7        : output : 3.3-V LVTTL       :         : 3         : N              \r
-dbg_vram_ad[7]               : H8        : output : 3.3-V LVTTL       :         : 3         : N              \r
+GND*                         : H7        :        :                   :         : 3         :                \r
+GND*                         : H8        :        :                   :         : 3         :                \r
 GND*                         : H9        :        :                   :         : 3         :                \r
-GND*                         : H10       :        :                   :         : 3         :                \r
+dbg_vram_a[11]               : H10       : output : 3.3-V LVTTL       :         : 3         : N              \r
 GND*                         : H11       :        :                   :         : 3         :                \r
 GND*                         : H12       :        :                   :         : 4         :                \r
-dbg_vram_a[10]               : H13       : output : 3.3-V LVTTL       :         : 4         : N              \r
-dbg_addr[13]                 : H14       : output : 3.3-V LVTTL       :         : 4         : N              \r
-dbg_d_io[2]                  : H15       : output : 3.3-V LVTTL       :         : 4         : N              \r
+GND*                         : H13       :        :                   :         : 4         :                \r
+GND*                         : H14       :        :                   :         : 4         :                \r
+GND*                         : H15       :        :                   :         : 4         :                \r
 GND*                         : H16       :        :                   :         : 5         :                \r
 GND*                         : H17       :        :                   :         : 5         :                \r
 GND*                         : H18       :        :                   :         : 5         :                \r
-GND*                         : H19       :        :                   :         : 5         :                \r
+joypad2[3]                   : H19       : input  : 3.3-V LVTTL       :         : 5         : N              \r
 GND                          : H20       : gnd    :                   :         :           :                \r
 NC                           : H21       :        :                   :         :           :                \r
 NC                           : H22       :        :                   :         :           :                \r
 GND*                         : J1        :        :                   :         : 2         :                \r
 GND*                         : J2        :        :                   :         : 2         :                \r
 NC                           : J3        :        :                   :         :           :                \r
-GND*                         : J4        :        :                   :         : 2         :                \r
+b[3]                         : J4        : output : 3.3-V LVTTL       :         : 2         : N              \r
 NC                           : J5        :        :                   :         :           :                \r
 NC                           : J6        :        :                   :         :           :                \r
 VCCIO2                       : J7        : power  :                   : 3.3V    : 2         :                \r
@@ -307,7 +307,7 @@ VCCINT                       : J11       : power  :                   : 1.2V
 VCCINT                       : J12       : power  :                   : 1.2V    :           :                \r
 VCCINT                       : J13       : power  :                   : 1.2V    :           :                \r
 GND*                         : J14       :        :                   :         : 4         :                \r
-joypad2[4]                   : J15       : input  : 3.3-V LVTTL       :         : 5         : N              \r
+GND*                         : J15       :        :                   :         : 5         :                \r
 VCCIO5                       : J16       : power  :                   : 3.3V    : 5         :                \r
 GND*                         : J17       :        :                   :         : 5         :                \r
 GND*                         : J18       :        :                   :         : 5         :                \r
@@ -335,7 +335,7 @@ NC                           : K17       :        :                   :
 NC                           : K18       :        :                   :         :           :                \r
 GND                          : K19       : gnd    :                   :         :           :                \r
 GND*                         : K20       :        :                   :         : 5         :                \r
-dbg_addr[5]                  : K21       : output : 3.3-V LVTTL       :         : 5         : N              \r
+GND*                         : K21       :        :                   :         : 5         :                \r
 GND*                         : K22       :        :                   :         : 5         :                \r
 GND+                         : L1        :        :                   :         : 2         :                \r
 GND+                         : L2        :        :                   :         : 2         :                \r
@@ -344,7 +344,7 @@ nCONFIG                      : L4        :        :                   :
 TDO                          : L5        : output :                   :         : 2         :                \r
 DCLK                         : L6        :        :                   :         : 2         :                \r
 NC                           : L7        :        :                   :         :           :                \r
-GND*                         : L8        :        :                   :         : 2         :                \r
+b[1]                         : L8        : output : 3.3-V LVTTL       :         : 2         : N              \r
 VCCINT                       : L9        : power  :                   : 1.2V    :           :                \r
 GND                          : L10       : gnd    :                   :         :           :                \r
 GND                          : L11       : gnd    :                   :         :           :                \r
@@ -355,7 +355,7 @@ NC                           : L15       :        :                   :
 NC                           : L16       :        :                   :         :           :                \r
 NC                           : L17       :        :                   :         :           :                \r
 GND*                         : L18       :        :                   :         : 5         :                \r
-dbg_vram_ad[6]               : L19       : output : 3.3-V LVTTL       :         : 5         : N              \r
+GND*                         : L19       :        :                   :         : 5         :                \r
 VCCIO5                       : L20       : power  :                   : 3.3V    : 5         :                \r
 GND+                         : L21       :        :                   :         : 5         :                \r
 GND+                         : L22       :        :                   :         : 5         :                \r
@@ -363,7 +363,7 @@ GND+                         : M1        :        :                   :
 GND+                         : M2        :        :                   :         : 1         :                \r
 VCCIO1                       : M3        : power  :                   : 3.3V    : 1         :                \r
 GND                          : M4        : gnd    :                   :         :           :                \r
-GND*                         : M5        :        :                   :         : 1         :                \r
+dbg_addr[0]                  : M5        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : M6        :        :                   :         : 1         :                \r
 NC                           : M7        :        :                   :         :           :                \r
 NC                           : M8        :        :                   :         :           :                \r
@@ -377,12 +377,12 @@ NC                           : M15       :        :                   :
 NC                           : M16       :        :                   :         :           :                \r
 MSEL0                        : M17       :        :                   :         : 6         :                \r
 GND*                         : M18       :        :                   :         : 6         :                \r
-b[0]                         : M19       : output : 3.3-V LVTTL       :         : 6         : N              \r
+GND*                         : M19       :        :                   :         : 6         :                \r
 VCCIO6                       : M20       : power  :                   : 3.3V    : 6         :                \r
 GND+                         : M21       :        :                   :         : 6         :                \r
 GND+                         : M22       :        :                   :         : 6         :                \r
 GND*                         : N1        :        :                   :         : 1         :                \r
-GND*                         : N2        :        :                   :         : 1         :                \r
+dbg_addr[6]                  : N2        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : N3        :        :                   :         : 1         :                \r
 GND*                         : N4        :        :                   :         : 1         :                \r
 NC                           : N5        :        :                   :         :           :                \r
@@ -401,14 +401,14 @@ MSEL1                        : N17       :        :                   :
 CONF_DONE                    : N18       :        :                   :         : 6         :                \r
 GND                          : N19       : gnd    :                   :         :           :                \r
 nSTATUS                      : N20       :        :                   :         : 6         :                \r
-dbg_d_io[0]                  : N21       : output : 3.3-V LVTTL       :         : 6         : N              \r
+GND*                         : N21       :        :                   :         : 6         :                \r
 GND*                         : N22       :        :                   :         : 6         :                \r
-dbg_addr[0]                  : P1        : output : 3.3-V LVTTL       :         : 1         : N              \r
+dbg_addr[8]                  : P1        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : P2        :        :                   :         : 1         :                \r
-GND*                         : P3        :        :                   :         : 1         :                \r
+dbg_cpu_clk                  : P3        : output : 3.3-V LVTTL       :         : 1         : N              \r
 NC                           : P4        :        :                   :         :           :                \r
-GND*                         : P5        :        :                   :         : 1         :                \r
-GND*                         : P6        :        :                   :         : 1         :                \r
+dbg_d_io[6]                  : P5        : output : 3.3-V LVTTL       :         : 1         : N              \r
+dbg_vram_ad[0]               : P6        : output : 3.3-V LVTTL       :         : 1         : N              \r
 VCCIO1                       : P7        : power  :                   : 3.3V    : 1         :                \r
 GND*                         : P8        :        :                   :         : 8         :                \r
 GND*                         : P9        :        :                   :         : 8         :                \r
@@ -420,65 +420,65 @@ NC                           : P14       :        :                   :
 GND*                         : P15       :        :                   :         : 6         :                \r
 VCCIO6                       : P16       : power  :                   : 3.3V    : 6         :                \r
 GND*                         : P17       :        :                   :         : 6         :                \r
-dbg_vram_ad[5]               : P18       : output : 3.3-V LVTTL       :         : 6         : N              \r
+GND*                         : P18       :        :                   :         : 6         :                \r
 NC                           : P19       :        :                   :         :           :                \r
 NC                           : P20       :        :                   :         :           :                \r
 NC                           : P21       :        :                   :         :           :                \r
 NC                           : P22       :        :                   :         :           :                \r
-GND*                         : R1        :        :                   :         : 1         :                \r
+dbg_vram_ad[2]               : R1        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : R2        :        :                   :         : 1         :                \r
 GND                          : R3        : gnd    :                   :         :           :                \r
 NC                           : R4        :        :                   :         :           :                \r
-GND*                         : R5        :        :                   :         : 1         :                \r
-GND*                         : R6        :        :                   :         : 1         :                \r
+dbg_addr[1]                  : R5        : output : 3.3-V LVTTL       :         : 1         : N              \r
+dbg_addr[3]                  : R6        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : R7        :        :                   :         : 1         :                \r
-GND*                         : R8        :        :                   :         : 1         :                \r
+dbg_d_io[2]                  : R8        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : R9        :        :                   :         : 8         :                \r
 GND*                         : R10       :        :                   :         : 8         :                \r
-r[1]                         : R11       : output : 3.3-V LVTTL       :         : 8         : N              \r
+dbg_vram_a[8]                : R11       : output : 3.3-V LVTTL       :         : 8         : N              \r
 GND*                         : R12       :        :                   :         : 7         :                \r
-b[1]                         : R13       : output : 3.3-V LVTTL       :         : 7         : N              \r
-joypad1[4]                   : R14       : input  : 3.3-V LVTTL       :         : 7         : N              \r
-GND*                         : R15       :        :                   :         : 7         :                \r
+GND*                         : R13       :        :                   :         : 7         :                \r
+GND*                         : R14       :        :                   :         : 7         :                \r
+r[3]                         : R15       : output : 3.3-V LVTTL       :         : 7         : N              \r
 GND*                         : R16       :        :                   :         : 7         :                \r
-GND*                         : R17       :        :                   :         : 6         :                \r
+joypad2[2]                   : R17       : input  : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : R18       :        :                   :         : 6         :                \r
 GND*                         : R19       :        :                   :         : 6         :                \r
 GND*                         : R20       :        :                   :         : 6         :                \r
 GND*                         : R21       :        :                   :         : 6         :                \r
 rst_n                        : R22       : input  : 3.3-V LVTTL       :         : 6         : Y              \r
-GND*                         : T1        :        :                   :         : 1         :                \r
+dbg_vram_ad[6]               : T1        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : T2        :        :                   :         : 1         :                \r
 GND*                         : T3        :        :                   :         : 1         :                \r
 VCCIO1                       : T4        : power  :                   : 3.3V    : 1         :                \r
-GND*                         : T5        :        :                   :         : 1         :                \r
-GND*                         : T6        :        :                   :         : 1         :                \r
-GND*                         : T7        :        :                   :         : 8         :                \r
+dbg_addr[9]                  : T5        : output : 3.3-V LVTTL       :         : 1         : N              \r
+dbg_addr[11]                 : T6        : output : 3.3-V LVTTL       :         : 1         : N              \r
+dbg_addr[13]                 : T7        : output : 3.3-V LVTTL       :         : 8         : N              \r
 GND*                         : T8        :        :                   :         : 8         :                \r
 VCCIO8                       : T9        : power  :                   : 3.3V    : 8         :                \r
 GND                          : T10       : gnd    :                   :         :           :                \r
-joypad2[7]                   : T11       : input  : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : T11       :        :                   :         : 8         :                \r
 GND*                         : T12       :        :                   :         : 7         :                \r
 GND                          : T13       : gnd    :                   :         :           :                \r
 VCCIO7                       : T14       : power  :                   : 3.3V    : 7         :                \r
 GND*                         : T15       :        :                   :         : 7         :                \r
 GND*                         : T16       :        :                   :         : 7         :                \r
 GND_PLL4                     : T17       : gnd    :                   :         :           :                \r
-dbg_addr[2]                  : T18       : output : 3.3-V LVTTL       :         : 6         : N              \r
+GND*                         : T18       :        :                   :         : 6         :                \r
 VCCIO6                       : T19       : power  :                   : 3.3V    : 6         :                \r
 GND                          : T20       : gnd    :                   :         :           :                \r
 GND*                         : T21       :        :                   :         : 6         :                \r
-dbg_d_io[1]                  : T22       : output : 3.3-V LVTTL       :         : 6         : N              \r
+GND*                         : T22       :        :                   :         : 6         :                \r
 GND*                         : U1        :        :                   :         : 1         :                \r
-joypad1[1]                   : U2        : input  : 3.3-V LVTTL       :         : 1         : N              \r
-dbg_vram_ad[4]               : U3        : output : 3.3-V LVTTL       :         : 1         : N              \r
+GND*                         : U2        :        :                   :         : 1         :                \r
+dbg_vram_a[12]               : U3        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : U4        :        :                   :         : 1         :                \r
 GND_PLL1                     : U5        : gnd    :                   :         :           :                \r
 VCCD_PLL1                    : U6        : power  :                   : 1.2V    :           :                \r
 VCCA_PLL1                    : U7        : power  :                   : 1.2V    :           :                \r
-joypad2[1]                   : U8        : input  : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : U8        :        :                   :         : 8         :                \r
 GND*                         : U9        :        :                   :         : 8         :                \r
-b[3]                         : U10       : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : U10       :        :                   :         : 8         :                \r
 GND+                         : U11       :        :                   :         : 8         :                \r
 GND+                         : U12       :        :                   :         : 8         :                \r
 GND*                         : U13       :        :                   :         : 7         :                \r
@@ -487,19 +487,19 @@ GND*                         : U15       :        :                   :
 VCCA_PLL4                    : U16       : power  :                   : 1.2V    :           :                \r
 VCCD_PLL4                    : U17       : power  :                   : 1.2V    :           :                \r
 GND*                         : U18       :        :                   :         : 6         :                \r
-GND*                         : U19       :        :                   :         : 6         :                \r
+joypad1[6]                   : U19       : input  : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : U20       :        :                   :         : 6         :                \r
 GND*                         : U21       :        :                   :         : 6         :                \r
 GND*                         : U22       :        :                   :         : 6         :                \r
 GND*                         : V1        :        :                   :         : 1         :                \r
-dbg_vram_ad[0]               : V2        : output : 3.3-V LVTTL       :         : 1         : N              \r
+GND*                         : V2        :        :                   :         : 1         :                \r
 GND                          : V3        : gnd    :                   :         :           :                \r
-GND*                         : V4        :        :                   :         : 1         :                \r
+dbg_vram_a[10]               : V4        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND_PLL1                     : V5        : gnd    :                   :         :           :                \r
 GND                          : V6        : gnd    :                   :         :           :                \r
 GNDA_PLL1                    : V7        : gnd    :                   :         :           :                \r
-GND*                         : V8        :        :                   :         : 8         :                \r
-dbg_addr[12]                 : V9        : output : 3.3-V LVTTL       :         : 8         : N              \r
+dbg_d_io[7]                  : V8        : output : 3.3-V LVTTL       :         : 8         : N              \r
+dbg_addr[7]                  : V9        : output : 3.3-V LVTTL       :         : 8         : N              \r
 VCCIO8                       : V10       : power  :                   : 3.3V    : 8         :                \r
 GND*                         : V11       :        :                   :         : 8         :                \r
 GND+                         : V12       :        :                   :         : 7         :                \r
@@ -509,24 +509,24 @@ GND*                         : V15       :        :                   :
 GNDA_PLL4                    : V16       : gnd    :                   :         :           :                \r
 GND                          : V17       : gnd    :                   :         :           :                \r
 GND_PLL4                     : V18       : gnd    :                   :         :           :                \r
-g[1]                         : V19       : output : 3.3-V LVTTL       :         : 6         : N              \r
-GND*                         : V20       :        :                   :         : 6         :                \r
-GND*                         : V21       :        :                   :         : 6         :                \r
-GND*                         : V22       :        :                   :         : 6         :                \r
+joypad1[1]                   : V19       : input  : 3.3-V LVTTL       :         : 6         : N              \r
+joypad1[7]                   : V20       : input  : 3.3-V LVTTL       :         : 6         : N              \r
+joypad2[5]                   : V21       : input  : 3.3-V LVTTL       :         : 6         : N              \r
+dbg_addr[14]                 : V22       : output : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : W1        :        :                   :         : 1         :                \r
-GND*                         : W2        :        :                   :         : 1         :                \r
+dbg_d_io[1]                  : W2        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : W3        :        :                   :         : 1         :                \r
 GND*                         : W4        :        :                   :         : 1         :                \r
 GND*                         : W5        :        :                   :         : 1         :                \r
 VCCIO8                       : W6        : power  :                   : 3.3V    : 8         :                \r
-dbg_d_io[4]                  : W7        : output : 3.3-V LVTTL       :         : 8         : N              \r
-GND*                         : W8        :        :                   :         : 8         :                \r
+GND*                         : W7        :        :                   :         : 8         :                \r
+joypad1[3]                   : W8        : input  : 3.3-V LVTTL       :         : 8         : N              \r
 GND*                         : W9        :        :                   :         : 8         :                \r
 GND                          : W10       : gnd    :                   :         :           :                \r
-r[2]                         : W11       : output : 3.3-V LVTTL       :         : 8         : N              \r
+g[0]                         : W11       : output : 3.3-V LVTTL       :         : 8         : N              \r
 GND+                         : W12       :        :                   :         : 7         :                \r
 GND                          : W13       : gnd    :                   :         :           :                \r
-joypad2[2]                   : W14       : input  : 3.3-V LVTTL       :         : 7         : N              \r
+dbg_vram_ad[5]               : W14       : output : 3.3-V LVTTL       :         : 7         : N              \r
 GND*                         : W15       :        :                   :         : 7         :                \r
 GND*                         : W16       :        :                   :         : 7         :                \r
 VCCIO7                       : W17       : power  :                   : 3.3V    : 7         :                \r
@@ -534,16 +534,16 @@ NC                           : W18       :        :                   :
 GND                          : W19       : gnd    :                   :         :           :                \r
 ~LVDS91p/nCEO~               : W20       : output : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : W21       :        :                   :         : 6         :                \r
-GND*                         : W22       :        :                   :         : 6         :                \r
+joypad2[1]                   : W22       : input  : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : Y1        :        :                   :         : 1         :                \r
-GND*                         : Y2        :        :                   :         : 1         :                \r
-GND*                         : Y3        :        :                   :         : 1         :                \r
+dbg_d_io[5]                  : Y2        : output : 3.3-V LVTTL       :         : 1         : N              \r
+dbg_vram_ad[3]               : Y3        : output : 3.3-V LVTTL       :         : 1         : N              \r
 GND*                         : Y4        :        :                   :         : 1         :                \r
 GND*                         : Y5        :        :                   :         : 8         :                \r
-dbg_vram_a[12]               : Y6        : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : Y6        :        :                   :         : 8         :                \r
 GND*                         : Y7        :        :                   :         : 8         :                \r
 GND                          : Y8        : gnd    :                   :         :           :                \r
-v_sync_n                     : Y9        : output : 3.3-V LVTTL       :         : 8         : N              \r
+GND*                         : Y9        :        :                   :         : 8         :                \r
 GND*                         : Y10       :        :                   :         : 8         :                \r
 VCCIO8                       : Y11       : power  :                   : 3.3V    : 8         :                \r
 VCCIO7                       : Y12       : power  :                   : 3.3V    : 7         :                \r
@@ -551,9 +551,9 @@ GND*                         : Y13       :        :                   :
 GND*                         : Y14       :        :                   :         : 7         :                \r
 GND                          : Y15       : gnd    :                   :         :           :                \r
 GND*                         : Y16       :        :                   :         : 7         :                \r
-dbg_addr[6]                  : Y17       : output : 3.3-V LVTTL       :         : 7         : N              \r
-GND*                         : Y18       :        :                   :         : 6         :                \r
+dbg_addr[4]                  : Y17       : output : 3.3-V LVTTL       :         : 7         : N              \r
+joypad2[7]                   : Y18       : input  : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : Y19       :        :                   :         : 6         :                \r
-GND*                         : Y20       :        :                   :         : 6         :                \r
+dbg_ppu_clk                  : Y20       : output : 3.3-V LVTTL       :         : 6         : N              \r
 GND*                         : Y21       :        :                   :         : 6         :                \r
-GND*                         : Y22       :        :                   :         : 6         :                \r
+joypad2[4]                   : Y22       : input  : 3.3-V LVTTL       :         : 6         : N              \r
index 423440c..a1a8567 100644 (file)
@@ -68,5 +68,6 @@ set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME testbench_motones_sim -se
 set_global_assignment -name EDA_TEST_BENCH_NAME testbench_clock_divider -section_id eda_simulation\r
 set_global_assignment -name EDA_DESIGN_INSTANCE_NAME dut -section_id testbench_clock_divider\r
 set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME testbench_clock_divider -section_id testbench_clock_divider\r
+set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "100 us" -section_id testbench_motones_sim\r
 set_global_assignment -name EDA_TEST_BENCH_FILE testbench_motones_sim.vhd -section_id testbench_motones_sim\r
 set_global_assignment -name EDA_TEST_BENCH_FILE testbench_clock_divider.vhd -section_id testbench_clock_divider
\ No newline at end of file
index 1da70f6..7e40565 100644 (file)
@@ -6,6 +6,9 @@ ptn_Child1=ChildFrames
 ptn_Child1=Document-0\r
 ptn_Child2=Document-1\r
 ptn_Child3=Document-2\r
+ptn_Child4=Document-3\r
+ptn_Child5=Document-4\r
+ptn_Child6=Document-5\r
 [ProjectWorkspace.Frames.ChildFrames.Document-1]\r
 ptn_Child1=ViewFrame-0\r
 [ProjectWorkspace.Frames.ChildFrames.Document-1.ViewFrame-0]\r
@@ -16,3 +19,33 @@ IsActiveChildFrame=False
 ptn_Child1=StateMap\r
 [ProjectWorkspace.Frames.ChildFrames.Document-1.ViewFrame-0.StateMap]\r
 AFC_IN_REPORT=False\r
+[ProjectWorkspace.Frames.ChildFrames.Document-2]\r
+ptn_Child1=ViewFrame-0\r
+[ProjectWorkspace.Frames.ChildFrames.Document-2.ViewFrame-0]\r
+DocPathName=cpu/mos6502.vhd\r
+DocumentCLSID={ca385d57-a4c7-11d1-a098-0020affa43f2}\r
+IsChildFrameDetached=False\r
+IsActiveChildFrame=False\r
+ptn_Child1=StateMap\r
+[ProjectWorkspace.Frames.ChildFrames.Document-2.ViewFrame-0.StateMap]\r
+AFC_IN_REPORT=False\r
+[ProjectWorkspace.Frames.ChildFrames.Document-3]\r
+ptn_Child1=ViewFrame-0\r
+[ProjectWorkspace.Frames.ChildFrames.Document-3.ViewFrame-0]\r
+DocPathName=cpu/decoder.vhd\r
+DocumentCLSID={ca385d57-a4c7-11d1-a098-0020affa43f2}\r
+IsChildFrameDetached=False\r
+IsActiveChildFrame=False\r
+ptn_Child1=StateMap\r
+[ProjectWorkspace.Frames.ChildFrames.Document-3.ViewFrame-0.StateMap]\r
+AFC_IN_REPORT=False\r
+[ProjectWorkspace.Frames.ChildFrames.Document-4]\r
+ptn_Child1=ViewFrame-0\r
+[ProjectWorkspace.Frames.ChildFrames.Document-4.ViewFrame-0]\r
+DocPathName=de1_nes_nativelink_simulation.rpt\r
+DocumentCLSID={0b720e69-67da-11d0-bf4f-0000c08cb0c0}\r
+IsChildFrameDetached=False\r
+IsActiveChildFrame=False\r
+ptn_Child1=StateMap\r
+[ProjectWorkspace.Frames.ChildFrames.Document-4.ViewFrame-0.StateMap]\r
+AFC_IN_REPORT=False\r
index 44416a7..59657f4 100644 (file)
@@ -170,7 +170,7 @@ begin
 --    dbg_cpu_clk  <= cpu_clk;\r
 --    dbg_ppu_clk  <= ppu_clk;\r
 --    dbg_addr <= addr;\r
-    dbg_d_io <= d_io;\r
+--    dbg_d_io <= d_io;\r
 --    dbg_vram_ad  <= vram_ad ;\r
 --    dbg_vram_a   <= vram_a  ;\r
 
diff --git a/de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do b/de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do
new file mode 100644 (file)
index 0000000..c660c10
--- /dev/null
@@ -0,0 +1,17 @@
+transcript on\r
+if {[file exists gate_work]} {\r
+       vdel -lib gate_work -all\r
+}\r
+vlib gate_work\r
+vmap work gate_work\r
+\r
+vcom -93 -work work {de1_nes.vho}\r
+\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/testbench_motones_sim.vhd}\r
+\r
+vsim -t 1ps +transport_int_delays +transport_path_delays -sdftyp /sim_board=de1_nes_vhd.sdo -L cycloneii -L gate_work -L work testbench_motones_sim\r
+\r
+add wave *\r
+view structure\r
+view signals\r
+run -all\r
diff --git a/de1_nes/simulation/modelsim/de1_nes_run_msim_rtl_vhdl.do b/de1_nes/simulation/modelsim/de1_nes_run_msim_rtl_vhdl.do
new file mode 100644 (file)
index 0000000..7eb15d0
--- /dev/null
@@ -0,0 +1,53 @@
+transcript on\r
+if {[file exists rtl_work]} {\r
+       vdel -lib rtl_work -all\r
+}\r
+vlib rtl_work\r
+vmap work rtl_work\r
+\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/motonesfpga_common.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/mem/ram.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/ppu/ppu_registers.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/cpu/cpu_registers.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/clock/clock_divider.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/apu/apu.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/cpu/mos6502.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/address_decoder.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/de1_nes.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/mem/prg_rom.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/mem/chr_rom.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/ppu/ppu.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/ppu/render.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/cpu/decoder.vhd}\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/cpu/alu.vhd}\r
+\r
+vcom -93 -work work {D:/daisuke/nes/repo/motonesfpga/de1_nes/testbench_motones_sim.vhd}\r
+\r
+vsim -t 1ps -L lpm -L altera -L altera_mf -L sgate -L cycloneii -L rtl_work -L work testbench_motones_sim\r
+\r
+##add wave sim:/testbench_motones_sim/sim_board/ppu_clk\r
+\r
+add wave sim:/testbench_motones_sim/sim_board/rst_n\r
+add wave sim:/testbench_motones_sim/sim_board/r_nw\r
+add wave sim:/testbench_motones_sim/sim_board/cpu_clk\r
+\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/addr\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/d_io\r
+\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/instruction\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/int_d_bus\r
+\r
+add wave -divider regs\r
+\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/acc/q\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/sp/q\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/status_register/status_val\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/x/q\r
+add wave -radix hex sim:/testbench_motones_sim/sim_board/cpu_inst/y/q\r
+\r
+\r
+###add wave sim:/testbench_motones_sim/sim_board/cpu_inst/*\r
+\r
+view structure\r
+view signals\r
+run 100 us\r