From: astoria-d Date: Sat, 8 Oct 2016 03:19:06 +0000 (+0900) Subject: debug update. X-Git-Tag: motonesfpga-de0-cv-0.9.0~6 X-Git-Url: http://git.osdn.net/view?a=commitdiff_plain;ds=sidebyside;h=1a1f7583e2c7b51135f8e9a8f82a7f71b1dc33d7;p=motonesfpga%2Fmotonesfpga.git debug update. --- diff --git a/de0_cv_nes/de0_cv_nes.vhd b/de0_cv_nes/de0_cv_nes.vhd index 957743f..d84e4fb 100644 --- a/de0_cv_nes/de0_cv_nes.vhd +++ b/de0_cv_nes/de0_cv_nes.vhd @@ -327,7 +327,9 @@ begin --cpu ram inst. cpu_ram_inst : ram generic map - (ram_2k, 8, "mem-before-02bd000000000000.bin") port map ( + (ram_2k, 8 + , "mem-before-02bd000000000000.bin" + ) port map ( pi_base_clk, wr_ram_ce_n, wr_oe_n, diff --git a/de0_cv_nes/mos6502.vhd b/de0_cv_nes/mos6502.vhd index 3fd8832..a2e7641 100644 --- a/de0_cv_nes/mos6502.vhd +++ b/de0_cv_nes/mos6502.vhd @@ -245,7 +245,6 @@ signal reg_exc_cnt : std_logic_vector (63 downto 0); --constant INIT_STATUS : std_logic_vector (7 downto 0) := "00100000"; --constant INIT_PCL : std_logic_vector (7 downto 0) := "00000000"; --constant INIT_PCH : std_logic_vector (7 downto 0) := "00000000"; ---constant INIT_EXC_CNT : std_logic_vector (63 downto 0) := conv_std_logic_vector(16#0#, 64); constant INIT_ACC : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#95#, 8); constant INIT_X : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#0d#, 8); @@ -256,7 +255,7 @@ constant INIT_PCL : std_logic_vector (7 downto 0) := conv_std_logic_vector constant INIT_PCH : std_logic_vector (7 downto 0) := conv_std_logic_vector(16#80#, 8); constant INIT_EXC_CNT : std_logic_vector (63 downto 0) := conv_std_logic_vector(16#02bd#, 16) & conv_std_logic_vector(0, 48); -constant DEBUG_SW : integer := 1; +constant DEBUG_SW : integer := 0; begin --state transition process... @@ -2422,7 +2421,12 @@ end; exc_cnt_p : process (pi_rst_n, pi_base_clk) begin if (pi_rst_n = '0') then - reg_exc_cnt <= INIT_EXC_CNT; + if (DEBUG_SW = 0) then + reg_exc_cnt <= (others => '0'); + else + --for test.... + reg_exc_cnt <= INIT_EXC_CNT; + end if; else if (rising_edge(pi_base_clk)) then if (reg_main_state = ST_CM_T0 and reg_sub_state = ST_SUB73) then diff --git a/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do b/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do index 93e9fb1..f9e28ce 100644 --- a/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do +++ b/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do @@ -118,37 +118,37 @@ add wave -label nes_y sim:/testbench_motones_sim/sim_board/render_inst/reg #add wave -label reg_v_cur_state sim:/testbench_motones_sim/sim_board/render_inst/reg_v_cur_state; ##add wave -label prf_x sim:/testbench_motones_sim/sim_board/render_inst/reg_prf_x; ##add wave -label prf_y sim:/testbench_motones_sim/sim_board/render_inst/reg_prf_y; -# -#add wave -label disp_nt -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_disp_nt; -#add wave -label disp_attr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_disp_attr; -#add wave -label sft_ptn_l -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_sft_ptn_l; -#add wave -label sft_ptn_h -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_sft_ptn_h; -# -#add wave -divider sprite -#add wave -label reg_s_oam_cur_state sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_cur_state; -#add wave -label reg_s_oam_ce_n sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_ce_n; -#add wave -label reg_s_oam_rd_n sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_rd_n; -#add wave -label reg_s_oam_wr_n sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_wr_n; -#add wave -label reg_s_oam_addr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_addr; -#add wave -label reg_s_oam_data -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_data; -# -##add wave -label reg_s_oam_cpy_cnt sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_cpy_cnt; -##add wave -label reg_p_oam_cpy_cnt sim:/testbench_motones_sim/sim_board/render_inst/reg_p_oam_cpy_cnt; -##add wave -label reg_spr_eval_cnt sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_eval_cnt; -# -#add wave -label wr_spr_ce_n sim:/testbench_motones_sim/sim_board/wr_spr_ce_n; -#add wave -label wr_spr_rd_n sim:/testbench_motones_sim/sim_board/wr_spr_rd_n; -#add wave -label wr_spr_wr_n sim:/testbench_motones_sim/sim_board/wr_spr_wr_n; -#add wave -label wr_spr_addr -radix hex sim:/testbench_motones_sim/sim_board/wr_spr_addr; -#add wave -label wr_spr_data -radix hex sim:/testbench_motones_sim/sim_board/wr_spr_data; -# -#add wave -label reg_spr_y_tmp -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_y_tmp; -#add wave -label reg_spr_tile_tmp -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_tile_tmp; -#add wave -label reg_spr_attr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_attr; -#add wave -label reg_spr_x -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_x; -#add wave -label reg_spr_ptn_sft_start -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_ptn_sft_start; -#add wave -label reg_spr_ptn_l -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_ptn_l; -#add wave -label reg_spr_ptn_h -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_ptn_h; + +add wave -label disp_nt -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_disp_nt; +add wave -label disp_attr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_disp_attr; +add wave -label sft_ptn_l -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_sft_ptn_l; +add wave -label sft_ptn_h -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_sft_ptn_h; + +add wave -divider sprite +add wave -label reg_s_oam_cur_state sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_cur_state; +add wave -label reg_s_oam_ce_n sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_ce_n; +add wave -label reg_s_oam_rd_n sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_rd_n; +add wave -label reg_s_oam_wr_n sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_wr_n; +add wave -label reg_s_oam_addr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_addr; +add wave -label reg_s_oam_data -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_data; + +#add wave -label reg_s_oam_cpy_cnt sim:/testbench_motones_sim/sim_board/render_inst/reg_s_oam_cpy_cnt; +#add wave -label reg_p_oam_cpy_cnt sim:/testbench_motones_sim/sim_board/render_inst/reg_p_oam_cpy_cnt; +#add wave -label reg_spr_eval_cnt sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_eval_cnt; + +add wave -label wr_spr_ce_n sim:/testbench_motones_sim/sim_board/wr_spr_ce_n; +add wave -label wr_spr_rd_n sim:/testbench_motones_sim/sim_board/wr_spr_rd_n; +add wave -label wr_spr_wr_n sim:/testbench_motones_sim/sim_board/wr_spr_wr_n; +add wave -label wr_spr_addr -radix hex sim:/testbench_motones_sim/sim_board/wr_spr_addr; +add wave -label wr_spr_data -radix hex sim:/testbench_motones_sim/sim_board/wr_spr_data; + +add wave -label reg_spr_y_tmp -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_y_tmp; +add wave -label reg_spr_tile_tmp -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_tile_tmp; +add wave -label reg_spr_attr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_attr; +add wave -label reg_spr_x -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_x; +add wave -label reg_spr_ptn_sft_start -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_ptn_sft_start; +add wave -label reg_spr_ptn_l -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_ptn_l; +add wave -label reg_spr_ptn_h -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_spr_ptn_h; # #add wave -divider palette #add wave -label plt_addr -radix hex sim:/testbench_motones_sim/sim_board/render_inst/reg_plt_addr;