From: yujiro_kaeko Date: Thu, 7 Jul 2011 06:29:58 +0000 (+0900) Subject: VGA memory test success X-Git-Url: http://git.osdn.net/view?a=commitdiff_plain;h=1dc9199bc31ace37c256d965f3f8adea7772acd0;p=oca1%2Ftest.git VGA memory test success Change-Id: Idfaaf702c1a8461a19d8e8b43d501bb364d5afec --- diff --git a/VGADisplay/src/vga_top.nsl b/VGADisplay/src/vga_top.nsl index e50b738..83fe482 100644 --- a/VGADisplay/src/vga_top.nsl +++ b/VGADisplay/src/vga_top.nsl @@ -23,6 +23,7 @@ module vga_top { reg reset[3] = 0b111 ; reg line_cnt[15] = 0 ; reg line_cnt2[14] = 0 ; + reg vram_adrs_cnt[14] = 0 ; reg rSec_cnt[25] = 0 ; reg rInit_flag = 0 ; @@ -60,14 +61,16 @@ module vga_top { if( U_EXP.foRd_ack ) { rLED := { // U_EXP.oRdata[14], - U_EXP.oRdata[12], +// U_EXP.oRdata[12], U_EXP.oRdata[10], U_EXP.oRdata[8], U_EXP.oRdata[6], U_EXP.oRdata[4], U_EXP.oRdata[2], U_EXP.oRdata[0], - test_LED + test_LED, + + rInit_flag } ; } diff --git a/VGADisplay/src/vram.nsh b/VGADisplay/src/vram.nsh index 278cb0d..baa373d 100644 --- a/VGADisplay/src/vram.nsh +++ b/VGADisplay/src/vram.nsh @@ -5,6 +5,7 @@ declare vram { input data[8] ; input rdaddress[14] ; input wraddress[14] ; + input rden ; input wren ; output q[8] ; -} \ No newline at end of file +} diff --git a/VGADisplay/src/vram_ctrl.nsl b/VGADisplay/src/vram_ctrl.nsl index e07c26f..fc8c305 100644 --- a/VGADisplay/src/vram_ctrl.nsl +++ b/VGADisplay/src/vram_ctrl.nsl @@ -35,7 +35,7 @@ module vram_ctrl{ func fiRd_req seq { { U_VRAM.rdaddress = iRadrs ; - rRadrs_hld := iRadrs ; + } { U_VRAM.rdaddress = rRadrs_hld ;