From: astoria-d Date: Mon, 23 Sep 2013 10:43:47 +0000 (+0900) Subject: 4k rom working X-Git-Tag: motonesfpga-gate-0.2.0~126^2~1 X-Git-Url: http://git.osdn.net/view?a=commitdiff_plain;h=60bc68b035f3f0d6197ab878cc72d1db7d53d0da;p=motonesfpga%2Fmotonesfpga.git 4k rom working --- diff --git a/de1_nes/address_decoder.vhd b/de1_nes/address_decoder.vhd index 09b7a02..501082d 100644 --- a/de1_nes/address_decoder.vhd +++ b/de1_nes/address_decoder.vhd @@ -45,6 +45,7 @@ architecture rtl of address_decoder is constant dsize : integer := 8; constant ram_2k : integer := 11; --2k = 11 bit width. constant rom_32k : integer := 15; --32k = 15 bit width. + constant rom_4k : integer := 12; --4k = 12 bit width. constant CPU_DST : time := 100 ns; --write data setup time. @@ -55,12 +56,34 @@ architecture rtl of address_decoder is signal ram_oe_n : std_logic; signal ram_io : std_logic_vector (dsize - 1 downto 0); + + component single_port_rom + generic + ( + DATA_WIDTH : natural := 8; + ADDR_WIDTH : natural := 8 + ); + port + ( + clk : in std_logic; + ce : in std_logic; + addr : in std_logic_vector (ADDR_WIDTH - 1 downto 0); + q : out std_logic_vector((DATA_WIDTH -1) downto 0) + ); +end component; + begin rom_ce_n <= '0' when (addr(15) = '1' and R_nW = '1') else '1' ; - romport : prg_rom generic map (rom_32k, dsize) - port map (mem_clk, rom_ce_n, addr(rom_32k - 1 downto 0), rom_out); + +-- romport : prg_rom generic map (rom_32k, dsize) +-- port map (mem_clk, rom_ce_n, addr(rom_32k - 1 downto 0), rom_out); + --mask address 4k. + romport : prg_rom generic map (rom_4k, dsize) + port map (mem_clk, rom_ce_n, addr(rom_4k - 1 downto 0), rom_out); +-- romport : single_port_rom generic map (dsize, rom_4k) +-- port map (mem_clk, rom_ce_n, addr(rom_4k - 1 downto 0), rom_out); ram_io <= d_io when (r_nw = '0' and ((addr(15) or addr(14) or addr(13)) = '0')) else diff --git a/de1_nes/cpu/mos6502.vhd b/de1_nes/cpu/mos6502.vhd index bdc4c13..f2a36bf 100644 --- a/de1_nes/cpu/mos6502.vhd +++ b/de1_nes/cpu/mos6502.vhd @@ -8,6 +8,7 @@ entity mos6502 is port ( signal dbg_instruction : out std_logic_vector(7 downto 0); signal dbg_int_d_bus : out std_logic_vector(7 downto 0); + signal dbg_exec_cycle : out std_logic_vector (5 downto 0); input_clk : in std_logic; --phi0 input pin. rdy : in std_logic; @@ -322,8 +323,10 @@ end component; begin + ----for debug monitoring.... dbg_instruction <= instruction; dbg_int_d_bus <= int_d_bus; + dbg_exec_cycle <= exec_cycle; -- clock generate. diff --git a/de1_nes/de1_nes.vhd b/de1_nes/de1_nes.vhd index 902db6d..f5170c9 100644 --- a/de1_nes/de1_nes.vhd +++ b/de1_nes/de1_nes.vhd @@ -20,6 +20,7 @@ entity de1_nes is ---monitor inside cpu signal dbg_instruction : out std_logic_vector(7 downto 0); signal dbg_int_d_bus : out std_logic_vector(7 downto 0); + signal dbg_exec_cycle : out std_logic_vector (5 downto 0); --NES instance base_clk : in std_logic; @@ -43,6 +44,7 @@ architecture rtl of de1_nes is port ( signal dbg_instruction : out std_logic_vector(7 downto 0); signal dbg_int_d_bus : out std_logic_vector(7 downto 0); + signal dbg_exec_cycle : out std_logic_vector (5 downto 0); input_clk : in std_logic; --phi0 input pin. rdy : in std_logic; @@ -161,6 +163,7 @@ begin port map ( dbg_instruction, dbg_int_d_bus, + dbg_exec_cycle, cpu_clk, rdy, rst_n, irq_n, nmi_n, dbe, r_nw, phi1, phi2, addr, d_io); @@ -183,7 +186,7 @@ begin dbg_ppu_clk <= ppu_clk; dbg_mem_clk <= mem_clk; dbg_addr <= addr; --- dbg_d_io <= d_io; + dbg_d_io <= d_io; -- dbg_vram_ad <= vram_ad ; -- dbg_vram_a <= vram_a ; diff --git a/de1_nes/sample1-prg.hex b/de1_nes/sample1-prg.hex index b17ce6a..8f8f981 100644 --- a/de1_nes/sample1-prg.hex +++ b/de1_nes/sample1-prg.hex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diff --git a/de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do b/de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do index 4b6c9b5..aa1c955 100644 --- a/de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do +++ b/de1_nes/simulation/modelsim/de1_nes_run_msim_gate_vhdl.do @@ -22,6 +22,7 @@ add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_d_io add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_instruction add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_int_d_bus +add wave -radix hex sim:/testbench_motones_sim/sim_board/dbg_exec_cycle view structure view signals diff --git a/de1_nes/testbench_motones_sim.vhd b/de1_nes/testbench_motones_sim.vhd index c09ae36..d67e122 100644 --- a/de1_nes/testbench_motones_sim.vhd +++ b/de1_nes/testbench_motones_sim.vhd @@ -66,15 +66,15 @@ architecture stimulus of testbench_motones_sim is constant base_clock_time : time := 40 ns; - signal dbg_cpu_clk : out std_logic; - signal dbg_ppu_clk : out std_logic; - signal dbg_mem_clk : out std_logic; - signal dbg_addr : out std_logic_vector( 16 - 1 downto 0); - signal dbg_d_io : out std_logic_vector( 8 - 1 downto 0); - signal dbg_vram_ad : out std_logic_vector (7 downto 0); - signal dbg_vram_a : out std_logic_vector (13 downto 8); - signal dbg_instruction : out std_logic_vector(7 downto 0); - signal dbg_int_d_bus : out std_logic_vector(7 downto 0); + signal dbg_cpu_clk : std_logic; + signal dbg_ppu_clk : std_logic; + signal dbg_mem_clk : std_logic; + signal dbg_addr : std_logic_vector( 16 - 1 downto 0); + signal dbg_d_io : std_logic_vector( 8 - 1 downto 0); + signal dbg_vram_ad : std_logic_vector (7 downto 0); + signal dbg_vram_a : std_logic_vector (13 downto 8); + signal dbg_instruction : std_logic_vector(7 downto 0); + signal dbg_int_d_bus : std_logic_vector(7 downto 0); begin