From: Andreas Theodosiou Date: Sat, 4 Apr 2015 23:09:30 +0000 (+0300) Subject: staging : unisys: Fix brace coding style issue X-Git-Url: http://git.osdn.net/view?a=commitdiff_plain;h=9c4e936abfadf5fc64481f06f7ba351b007af1ff;p=sagit-ice-cold%2Fkernel_xiaomi_msm8998.git staging : unisys: Fix brace coding style issue This is a patch to visorchannel/visorchannel_funcs.c that fixes a couple of brace warnings found by checkpatch.pl. Signed-off-by: Andreas Theodosiou Signed-off-by: Greg Kroah-Hartman --- diff --git a/drivers/staging/unisys/visorchannel/visorchannel_funcs.c b/drivers/staging/unisys/visorchannel/visorchannel_funcs.c index 7a9a7242f75d..9ae5f752bbf5 100644 --- a/drivers/staging/unisys/visorchannel/visorchannel_funcs.c +++ b/drivers/staging/unisys/visorchannel/visorchannel_funcs.c @@ -405,9 +405,8 @@ signalremove_inner(struct visorchannel *channel, u32 queue, void *msg) return FALSE; /* no signals to remove */ sig_hdr.tail = (sig_hdr.tail + 1) % sig_hdr.max_slots; - if (!sig_read_data(channel, queue, &sig_hdr, sig_hdr.tail, msg)) { + if (!sig_read_data(channel, queue, &sig_hdr, sig_hdr.tail, msg)) return FALSE; - } sig_hdr.num_received++; /* For each data field in SIGNAL_QUEUE_HEADER that was modified, @@ -470,9 +469,8 @@ signalinsert_inner(struct visorchannel *channel, u32 queue, void *msg) mb(); /* required for channel synch */ if (!SIG_WRITE_FIELD(channel, queue, &sig_hdr, head)) return FALSE; - if (!SIG_WRITE_FIELD(channel, queue, &sig_hdr, num_sent)) { + if (!SIG_WRITE_FIELD(channel, queue, &sig_hdr, num_sent)) return FALSE; - } return TRUE; }