From: astoria-d Date: Tue, 10 Sep 2013 09:35:28 +0000 (+0900) Subject: ppu addr reset latch timing changed. X-Git-Tag: motonesfpga-gate-0.2.0~156 X-Git-Url: http://git.osdn.net/view?a=commitdiff_plain;h=d3310d6602816db65abfa0a8314f73caa0ef3067;p=motonesfpga%2Fmotonesfpga.git ppu addr reset latch timing changed. --- diff --git a/simulation/ppu/ppu.vhd b/simulation/ppu/ppu.vhd index 6f2a2f4..e33065c 100644 --- a/simulation/ppu/ppu.vhd +++ b/simulation/ppu/ppu.vhd @@ -471,6 +471,7 @@ begin oam_bus_ce_n <= '1'; oam_addr_ce_n <= '1'; ppu_addr_cnt_ce_n <= '1'; + ppu_latch_rst_n <= '1'; rd_n <= 'Z'; wr_n <= 'Z';