From 2918e88e6f80f1ce434a1f5893f3bc3818d793a3 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Thu, 5 Sep 2013 00:33:19 +0900 Subject: [PATCH] quartus + modelsim integration --- de1_nes/.gitignore | 7 +- de1_nes/de1_nes.qsf | 4 +- de1_nes/sample1-chr.hex | 257 +++++++++ de1_nes/sample1-prg.hex | 1025 +++++++++++++++++++++++++++++++++++ de1_nes/simulation/modelsim/wave.do | 51 ++ 5 files changed, 1342 insertions(+), 2 deletions(-) create mode 100644 de1_nes/sample1-chr.hex create mode 100644 de1_nes/sample1-prg.hex create mode 100644 de1_nes/simulation/modelsim/wave.do diff --git a/de1_nes/.gitignore b/de1_nes/.gitignore index 1ca1b1f..81e80d1 100644 --- a/de1_nes/.gitignore +++ b/de1_nes/.gitignore @@ -7,4 +7,9 @@ *.jpg *.html db/* -no-need/* \ No newline at end of file +no-need/* +simulation/modelsim/gate_work/* +simulation/modelsim/rtl_work/* +simulation/modelsim/msim_transcript +simulation/modelsim/vsim.wlf +undo_redo.txt diff --git a/de1_nes/de1_nes.qsf b/de1_nes/de1_nes.qsf index 1cad207..6ef70d2 100644 --- a/de1_nes/de1_nes.qsf +++ b/de1_nes/de1_nes.qsf @@ -53,4 +53,6 @@ set_global_assignment -name VHDL_FILE cpu/alu.vhd set_global_assignment -name VHDL_FILE cpu/mos6502.vhd set_global_assignment -name VHDL_FILE address_decoder.vhd set_global_assignment -name VHDL_FILE de1_nes.vhd -set_global_assignment -name VECTOR_WAVEFORM_FILE de1_nes.vwf \ No newline at end of file +set_global_assignment -name VECTOR_WAVEFORM_FILE de1_nes.vwf +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation \ No newline at end of file diff --git a/de1_nes/sample1-chr.hex b/de1_nes/sample1-chr.hex new file mode 100644 index 0000000..0311569 --- /dev/null +++ b/de1_nes/sample1-chr.hex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diff --git a/de1_nes/sample1-prg.hex b/de1_nes/sample1-prg.hex new file mode 100644 index 0000000..b17ce6a --- /dev/null +++ b/de1_nes/sample1-prg.hex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diff --git a/de1_nes/simulation/modelsim/wave.do b/de1_nes/simulation/modelsim/wave.do new file mode 100644 index 0000000..ac090e3 --- /dev/null +++ b/de1_nes/simulation/modelsim/wave.do @@ -0,0 +1,51 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /de1_nes/base_clk +add wave -noupdate -format Logic /de1_nes/rst_n +add wave -noupdate -format Logic /de1_nes/dbg_pin0 +add wave -noupdate -format Logic /de1_nes/dbg_pin1 +add wave -noupdate -format Logic /de1_nes/dbg_pin2 +add wave -noupdate -format Logic /de1_nes/dbg_pin3 +add wave -noupdate -format Logic /de1_nes/dbg_pin4 +add wave -noupdate -format Logic /de1_nes/dbg_pin5 +add wave -noupdate -format Logic /de1_nes/dbg_pin6 +add wave -noupdate -format Logic /de1_nes/dbg_pin7 +add wave -noupdate -format Logic /de1_nes/cpu_clk +add wave -noupdate -format Logic /de1_nes/ppu_clk +add wave -noupdate -format Logic /de1_nes/rdy +add wave -noupdate -format Logic /de1_nes/irq_n +add wave -noupdate -format Logic /de1_nes/nmi_n +add wave -noupdate -format Logic /de1_nes/dbe +add wave -noupdate -format Logic /de1_nes/r_nw +add wave -noupdate -format Logic /de1_nes/phi1 +add wave -noupdate -format Logic /de1_nes/phi2 +add wave -noupdate -format Literal -radix hexadecimal /de1_nes/addr +add wave -noupdate -format Literal -radix hexadecimal /de1_nes/d_io +add wave -noupdate -format Logic /de1_nes/ppu_ce_n +add wave -noupdate -format Logic /de1_nes/rd_n +add wave -noupdate -format Logic /de1_nes/wr_n +add wave -noupdate -format Logic /de1_nes/ale +add wave -noupdate -format Literal /de1_nes/vram_ad +add wave -noupdate -format Literal /de1_nes/vram_a +add wave -noupdate -format Logic /de1_nes/vga_clk +add wave -noupdate -format Logic /de1_nes/h_sync_n +add wave -noupdate -format Logic /de1_nes/v_sync_n +add wave -noupdate -format Literal /de1_nes/r +add wave -noupdate -format Literal /de1_nes/g +add wave -noupdate -format Literal /de1_nes/b +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {21480 ps} 0} +configure wave -namecolwidth 224 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +update +WaveRestoreZoom {20797 ps} {21708 ps} -- 2.11.0