From 2966813022f2440a2a2e7e2841f7f9f72eb38276 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Sat, 7 Sep 2013 16:35:37 +0900 Subject: [PATCH] minor change --- simulation/cpu/decoder.vhd | 1 + simulation/cpu/mos6502.vhd | 2 +- 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/simulation/cpu/decoder.vhd b/simulation/cpu/decoder.vhd index abcc690..03658a6 100644 --- a/simulation/cpu/decoder.vhd +++ b/simulation/cpu/decoder.vhd @@ -1034,6 +1034,7 @@ end procedure; d_print(string'("-")); if rdy = '0' then + --case dma is runnting. disable_pins; inst_we_n <= '1'; ad_oe_n <= '0'; diff --git a/simulation/cpu/mos6502.vhd b/simulation/cpu/mos6502.vhd index 9a59be0..e12aa4d 100644 --- a/simulation/cpu/mos6502.vhd +++ b/simulation/cpu/mos6502.vhd @@ -539,7 +539,7 @@ begin return hex_chr(tmp2 + 1) & hex_chr(tmp1 + 1); end; begin - if (set_clk = '0' and exec_cycle = "000000") then + if (set_clk = '0' and rdy = '1' and exec_cycle = "000000") then --show pc on the T0 (fetch) cycle. d_print("pc : " & conv_hex8(conv_integer(abh)) & conv_hex8(conv_integer(abl))); -- 2.11.0