From 2ee73cb14c624bf0051a26dd516262db2d38e334 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Sun, 28 Aug 2016 16:31:51 +0900 Subject: [PATCH] de0-cv integration ok, bg displayed on the test image. --- de0_cv_nes/de0-cv-analyze-all.stp | 1945 ++++++++++++++++++++----------------- de0_cv_nes/de0_cv_nes.qsf | 814 ++++++++-------- de0_cv_nes/de0_cv_nes.vhd | 42 +- 3 files changed, 1501 insertions(+), 1300 deletions(-) diff --git a/de0_cv_nes/de0-cv-analyze-all.stp b/de0_cv_nes/de0-cv-analyze-all.stp index e2bc65a..272f855 100644 --- a/de0_cv_nes/de0-cv-analyze-all.stp +++ b/de0_cv_nes/de0-cv-analyze-all.stp @@ -1,10 +1,22 @@ - + - + + + + + + + + + + + + + @@ -14,70 +26,70 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -95,6 +107,7 @@ + @@ -104,6 +117,7 @@ + @@ -192,6 +206,28 @@ + + + + + + + + + + + + + + + + + + + + + + @@ -225,70 +261,70 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -306,6 +342,7 @@ + @@ -315,6 +352,7 @@ + @@ -403,6 +441,28 @@ + + + + + + + + + + + + + + + + + + + + + + @@ -436,70 +496,70 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -517,6 +577,7 @@ + @@ -526,6 +587,7 @@ + @@ -614,6 +676,28 @@ + + + + + + + + + + + + + + + + + + + + + + @@ -645,760 +729,845 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - + + + + + + + + + - - - - - - - - - - + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + - - - - - + + + + + - - - - - + + + + + - - - - - + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - + + + + + + + + + + + + + + + + + - - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + - - - - - - - - - + + + + + - - - - - + + + + + - - - - - + + + + + + + + + + + - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + - - - - - - - + + + + + + + + + - - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - + + + + + - - - - - + + + + + - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + - 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0' == low && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0' == high && 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0' == high + - + + - 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 @@ -1416,24 +1585,8 @@ - - 0000101000010010000000000010000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000000000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000000000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000000000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010011000000000000000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100100000000000100000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001100000000001000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100100000000000100000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001100000000001000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010010000000000000000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010011000000000000000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010010000000000000000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010011000000000000000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100100000000000100000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000010000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010011000000000000000000000100000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000001000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000010000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000100000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000001000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000010000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000100000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100100000000000100000000001000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000010000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000100000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000001000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000010000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000100000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000001000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001100000000001000000000010000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000100000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000000000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000000000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000000000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000000000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000000000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010010000000000000000000000000000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000000000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000010000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000100000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000001000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000010000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000100000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000001000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001100000000001000000000010000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000100000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000000000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000000000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000000000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000000000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000000000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010010000000000000000000000000000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000000000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000000000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010011000000000000000000000000000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000000000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000000000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000000000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000000000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000000000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000000000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000000000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000000000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000000000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000000000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000000000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010010000000000000000000000000000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000000000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000000000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010011000000000000000000000000000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000000000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000000000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000000000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000000000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000000000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000000000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100100000000000100000000000000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000000000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000000000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000000000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000000000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000000000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000000000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001100000000001000000000000000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000000000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100110000000000000000000000000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001100000000000000000000000000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010011000000000000000000000000000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100100000000000100000000000000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001000000000001000000000000000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000001000010010000000000010000000000000000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001010000100100000000000100000000000000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010100001001000000000001000000000000000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000101000010010000000000010000000000000000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000010000100110000000000100000000000000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000100001001100000000001000000000000000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000001000010011000000000010000000000000000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001010000100110000000000100000000000000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010100001001100000000001000000000000000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000101000010011000000000010000000000000000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000010000100100000000000000000000001000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000100001001000000000000000000000010000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000001000010010000000000000000000000100000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001010000100100000000000000000000001000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010100001001000000000000000000000010000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000101000010010000000000000000000000100000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000010000100110000000000000000000001000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000100001001100000000000000000000010000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000000000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000000000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000000000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000000000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000000000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000000000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000000000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000000000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000000000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000000000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000000000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000000000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000000000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000000000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000000000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000000000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000000000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000000000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000000000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000000000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000000000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000000000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000000000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000000000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100100000000000000000000001000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001000000000000000000000010000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010010000000000000000000000100000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100100000000000000000000001000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001000000000000000000000010000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010010000000000000000000000100000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000010000100110000000000000000000001000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000100001001100000000000000000000010000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000001000010011000000000000000000000100000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001010000100110000000000000000000001000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010100001001100000000000000000000010000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000101000010011000000000000000000000100000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000010000100100000000000100000000001000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000100001001000000000001000000000010000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000001000010010000000000010000000000100000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001010000100100000000000100000000001000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010100001001000000000001000000000010000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000101000010010000000000010000000000100000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000010000100110000000000100000000001000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000100001001100000000001000000000010000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000001000010011000000000010000000000100000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001010000100110000000000100000000001000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010100001001100000000001000000000010000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000101000010011000000000010000000000100000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000000000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000000000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000000000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000000000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000000000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000000000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000000000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000000000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000000000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000000000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000000000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000000000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000000000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000000000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000000000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000000000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000000000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000000000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000000000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000000000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000000000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000000000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000000000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000000000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000000000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000000000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000000000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000000000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000000000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000000000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000000000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000000000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000000000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000000000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100000011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000000110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000001100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100000011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000000111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000001110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000000111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000001110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000000000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000001000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000010000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000100000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000001000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000010000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000100000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000001000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000010000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000000000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000000000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000000000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000000000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000000000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000000000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000000000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000000000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001011100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000010111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000101110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001011100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000010111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000101110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001011000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000010110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000101100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000100000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000001000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000010000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000100000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000001000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000010000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000100000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000001000000000000000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000000000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000000000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000000000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000000000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000000000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000000000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000000000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000000000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100000111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000001111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000011110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100000111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000001110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000011100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100000111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000001111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000011110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001000000000001000000000010000000000000000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010010000000000010000000000100000000000000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100110000000000100000000001000000000000000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001100000000001000000000010000000000000000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010011000000000010000000000100000000000000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100110000000000100000000001000000000000000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000011100001001100000000001000000000010000000000000000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000111000010011000000000010000000000100000000000000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000110000100100000000000000000000000000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000001100001001000000000000000000000000000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000011000010010000000000000000000000000000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001110000100100000000000000000000000000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000011100001001000000000000000000000000000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000111000010010000000000000000000000000000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000110000100110000000000000000000000000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000001100001001100000000000000000000000000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000011000010011000000000000000000000000000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001110000100110000000000000000000000000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000011100001001100000000000000000000000000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000111000010011000000000000000000000000000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000110000100100000000000100000000000000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000001100001001000000000001000000000000000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000011000010010000000000010000000000000000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001110000100100000000000100000000000000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000000001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000000000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000000000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000000001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000000000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000000000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000000001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000000000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000000000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000000000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000000000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000000000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000000000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000000000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000000000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000000000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000000000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000000000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000000000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000000000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000000000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000000000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000000000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000000000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000000000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000000000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000000000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000000000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000000000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000000000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000000000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000000000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000000000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000000000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000000000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000000000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000000000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000000000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000000000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000000000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000000000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000000000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100100000000000000000000001000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001000000000000000000000010000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010010000000000000000000000100000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100100000000000000000000001000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001000000000000000000000010000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010010000000000000000000000100000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000110000100110000000000000000000001000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000001100001001100000000000000000000010000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000011000010011000000000000000000000100000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001110000100110000000000000000000001000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000011100001001100000000000000000000010000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000111000010011000000000000000000000100000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000110000100100000000000100000000001000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000001100001001000000000001000000000010000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000011000010010000000000010000000000100000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001110000100100000000000100000000001000000000010000000000100001111000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000011100001001000000000001000000000010000000000100000000001000011110000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000111000010010000000000010000000000100000000001000000000010000111100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000110000100110000000000100000000001000000000010000000000100001111100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000001100001001100000000001000000000010000000000100000000001000011111000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000011000010011000000000010000000000100000000001000000000010000111110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001110000100110000000000100000000001000000000010000000000100001111100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000011100001001100000000001000000000010000000000100000000001000011111000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000111000010011000000000010000000000100000000001000000000010000111110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000000000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000000000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000000000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000000000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000000000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000000000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000000000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000000000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000000000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000000000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000000000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000000000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000000000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000000000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000000000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000000000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000000000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000000001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000000010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000000001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000000010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000000000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000000000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000000000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000000000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000000000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000000000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000000000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000000000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000000000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000000000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000000000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000000000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000000000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000001000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000010000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000100000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000001000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000010000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000100000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000001000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000010000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000100000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001000100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000010001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000100010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001000000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000010000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000100000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001000100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000010001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000100010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000000000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000000000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000000000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000000000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000001000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000010000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000100000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000001000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000010000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000100000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000001000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000010000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000100000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000001000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000010000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000100000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000001000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000010000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000100000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000001000000000010000000000000000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000010000000000100000000000000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000001000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000010000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000001001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000010010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000001001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000010010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000100000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000001000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000010000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000100000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000001000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000010000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000100000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000001000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000010000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000100000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000001000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000010000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000100000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000001000000000010000000000000001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000010000000000100000000000000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000100000000001000000000000000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000001000000000010000000000000001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000010000000000100000000000000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000100000000001000000000000000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000001000000000010000000000000001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000010000000000100000000000000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000100000000001000000000000000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000000000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001100100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000011001000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000110010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001100100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000011001000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000110010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001100000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000011000000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000110000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000100000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000001000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000010000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000100000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000001000000000010000000000000000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000010000000000100000000000000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000100000000001000000000000000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000001000000000010000000000000000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000010000000000100000000000000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000100000000001000000000000000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000001000000000010000000000000000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000010000000000100000000000000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000100000000001000000000000000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000000000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000000000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000000000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000000000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000000000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000000000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000000000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000000101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000001010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000000100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000001000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000000101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000001010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000010000000000100000000000000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000100000000001000000000000000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000001000000000010000000000000001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000010000000000100000000000000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000100000000001000000000000000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000000000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000000000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000000000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000000000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000000000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000000000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000000000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000000000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000000000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000000000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000000000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000000000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000000000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000000000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001010000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000010100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000101000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001010100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000010101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000101010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001010100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000010101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000101010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000000000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000000000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000000000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000000000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000000000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000000000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000000000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000000000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000000000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000000000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000000000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000000000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000000000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000000000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000000000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000001000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000010000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000100000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000001101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000011010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000001101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000011010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000001100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000011000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000000000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000000000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000000000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000000000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000000000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000000000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000000000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000000000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000000000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000001000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000010000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000100000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000001000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000010000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000100000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000001000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000010000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000100000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000001000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000010000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000100000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001110100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000011101000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000111010000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001110000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000011100000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000111000000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001110100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000011101000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000111010000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000000000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000000000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000001000000000000000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000010000000000000000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000100000000000000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000001000000000000000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000010000000000000000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000000010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000000100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000000011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000000110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000000011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000000110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000001000000000000000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000010000000000000000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000100000000000000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000001000000000000000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000010000000000000000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000100000000000000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000001000000000000000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000010000000000000000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000100000000000000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001001100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000010011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000100110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001001100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000010011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000100110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001001000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000010010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000100100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000001000000000000000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000010000000000000000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000100000000000000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000001000000000000000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000010000000000000000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000100000000000000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000001000000000000000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000010000000000000000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000100000000000000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000001011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000010110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000001010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000010100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000001011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000010110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001100000000001000000000010000000000000000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010011000000000010000000000100000000000000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100100000000000000000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001000000000000000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010010000000000000000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100100000000000000000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000010010001001000000000000000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000100100010010000000000000000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100000001000100110000000000000000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000000010001001100000000000000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000000100010011000000000000000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100001001000100110000000000000000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000010010001001100000000000000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000100100010011000000000000000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100000001000100100000000000100000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000001111111111111111000011000000010001001000000000001000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000011111111111111110000110000000100010010000000000010000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000000111111111111111100001100001001000100100000000000100000000000000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000001111111111111111000011000010010001001000000000001000000000000000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000011111111111111110000110000100100010010000000000010000000000000000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000000111111111111111100001100000001000100110000000000100000000000000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000001111111111111111000011000000010001001100000000001000000000000000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000011111111111111110000110000000100010011000000000010000000000000000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000000111111111111111100001100001001000100110000000000100000000000000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000001000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000110110000010011010001000000010000000001100101000000000000001100001001011000000000000010011010000000010000000010000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001101100000111010100010011001000000000011001010000000000000011000010010110000000000000010110100000000100000000100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000011011000001110101000000110010000000000110010100000000000000110000100101100000000000000101101000000001000000001000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000110110000011101010001001100100100000001100101000000000000001100001001011000000000000001011010000000010000000010000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001101000000100110100011110101001000000011001010000000000000011000010010110000000000000000110100000000100000000100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000011010000001001101000011101010010000000110010100000000000000110000100101100000000000000001101000000001000000001000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000110100000010011010001111010100010000001100101000000000000001100001001011000000000000000011010000000010000000010000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100001101100000100110100010000000100100000011001010000000000000011000010010110000000000000100110100000000100000000100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000011011000001001101000000000001001000000110010100000000000000110000100101100000000000001001101000000001000000011000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000110110000010011010001000000010000000001100100000000000000001100001001011000000000000010011010000000010000000110000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100001101100000111010100010011001000000000011001000000000000000011000010010110000000000000010110100000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000011011000001110101000000110010000000000110010000000000000000110000100101100000000000000101101000000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000110110000011101010001001100100100010000000000000000000000001100001001011000000000000001011010000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001101111111111111111111111111101000100000000000000000000000011000010010110000000000000111010100000000100000001100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000011011111111111111111011111111010001000000000000000000000000110000100101100000000000001110101000000001000000011000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000110111111111111111111111111110010010000000000000000000000001100001001011000000000000011101010000000010000000110000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001101100000011111111010000000100100100000000000000000000000011000010010110000000000000111010100000000100000001000000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000011011000000111111110000000001001001000000000000000000000000110000100101100000000000001110101000000001000000010000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000110110000001111111101000000010110010000000000000000000000001100001001011000000000000011101010000000010000000100000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001101000000011111111011110101001100100000000000000000000000011000010010110000000000000111010100000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000011010000000111111110011101010011001000000000000000000000000110000100101100000000000001110101000000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000110100000001111111101111010100001010000000000000000000000001100001001011000000000000011101010000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000011100000001111111011010010100010100000000000000000000000011000010010110000000000000111010100000000100000001000000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000000111000000011111110010100101000101000000000000000000000000110000100101100000000000001110101000000001000000010000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000001110000000111111101101001010101010000000000000000000000001100001001011000000000000011101010000000010000000100000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000011011111110111111110100000101010100000000000000000000000011000010010110000000000000111010100000000100000001100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000000110111111101111111001000001010101000000000000000000000000110000100101100000000000001110101000000001000000011000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000001101111111011111111010000010011010000000000000000000000001100001001011000000000000011101010000000010000000110000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000011111111110111111110000000100110100000000000000000000000011000010010110000000000000010000010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000000111111111101111111000000001001101000000000000000000000000110000100101100000000000000100000100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000001111111111011111111000000010000000000000000000000000000001100001001011000000000000001000001000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000011000000110000010011011010100000000000000000000000000000011000010010110000000000000010000010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000000110000001100000100010110101000000000000000000000000000000110000100101100000000000000100000100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000001100000011000001001101101010100000101101010000000000000001100001001011000000000000001000001000000010000000110000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000011100000110000010010001111001000001011010100000000000000011000010010110000000000000110000010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000000111000001100000100000011110010000010110101000000000000000110000100101100000000000001100000100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000001110000011000001001000111100010000101101010000000000000001100001001011000000000000011000001000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000011000000101000010011110000000100001011010100000000000000011000010010110000000000000001000010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000000110000001010000100011100000001000010110101000000000000000110000100101100000000000000010000100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000001100000010100001001111000000110000101101010000000000000001100001001011000000000000000100001000000010000000110000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000011010000000111101110000100001100001011010100000000000000011000010010110000000000000101000010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000000110100000001111011000001000011000010110101000000000000000110000100101100000000000001010000100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000001101000000011110111000010000000000101101010000000000000001100001001011000000000000010100001000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000011100000101000010011001010000000001011010100000000000000011000010010110000000000000101000010000000100000001100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000000111000001010000100010010100000000010110101000000000000000110000100101100000000000001010000100000001000000011000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000001110000010100001001100101000100000100101000000000000000001100001001011000000000000010100001000000010000000110000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000011000000111000010011111111001000001001010000000000000000011000010010110000000000000011000010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000000110000001110000100011111110010000010010100000000000000000110000100101100000000000000110000100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000001100000011100001001111111100000000100101000000000000000001100001001011000000000000001100001000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000011100000111000010011011000100000001001010000000000000000011000010010110000000000000111000010000000100000001100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000000111000001110000100010110001000000010010100000000000000000110000100101100000000000001110000100000001000000011000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000001110000011100001001101100010100000101100010000000000000001100001001011000000000000011100001000000010000000110000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000011000000100100010010001111001000001011000100000000000000011000010010110000000000000000100010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000000110000001001000100000011110010000010110001000000000000000110000100101100000000000000001000100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000001100000010010001001000111100010000101100010000000000000001100001001011000000000000000010001000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000011100000100100010011110000000100001011000100000000000000011000010010110000000000000100100010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000000111000001001000100011100000001000010110001000000000000000110000100101100000000000001001000100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000001110000010010001001111000000110000101100010000000000000001100001001011000000000000010010001000000010000000110000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000011010000000111101110000100001100001011000100000000000000011000010010110000000000000010100010000000100000001000000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000000110100000001111011000001000011000010110001000000000000000110000100101100000000000000101000100000001000000010000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000001101000000011110111000010000000000101100010000000000000001100001001011000000000000001010001000000010000000100000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000011000000110100010011001010000000001011000100000000000000011000010010110000000000000010100010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000000110000001101000100010010100000000010110001000000000000000110000100101100000000000000101000100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000001100000011010001001100101000100000100101000000000000000001100001001011000000000000001010001000000010000000110000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000011100000110100010010111111001000001001010000000000000000011000010010110000000000000110100010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000000111000001101000100001111110010000010010100000000000000000110000100101100000000000001101000100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000001110000011010001001011111100000000100101000000000000000001100001001011000000000000011010001000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000011000000101100010011011000100000001001010000000000000000011000010010110000000000000001100010000000100000001100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000000110000001011000100010110001000000010010100000000000000000110000100101100000000000000011000100000001000000011000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000001100000010110001001101100010100000101100010000000000000001100001001011000000000000000110001000000010000000110000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000011100000101100010010000000001000001011000100000000000000011000010010110000000000000101100010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000000111000001011000100000000000010000010110001000000000000000110000100101100000000000001011000100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000001110000010110001001000000000010000101100010000000000000001100001001011000000000000010110001000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000011000000111100010010000010000100001011000100000000000000011000010010110000000000000011100010000000100000001100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000000110000001111000100000000100001000010110001000000000000000110000100101100000000000000111000100000001000000011000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000001100000011110001001000001000110000101100010000000000000001100001001011000000000000001110001000000010000000110000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000011000010000000000010000100001100001011000100000000000000001000010000110000000000000111100010000000100000001000000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000000110000100000000000000001000011000010110001100000000000000010000100001100000000000001111000100000001000000010000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000001100001000000000001000010000000000101100011000000000000000100001000011000000000000011110001000000010000000100000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000011100000111100010011011010100000001011000110000000000000011000010000110000000000000111100010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000000111000001111000100010110101000000010110001100000000000000110000100001100000000000001111000100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000001110000011110001001101101010100000101101011000000000000001100001000011000000000000011110001000000010000000110000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000011000000100010010011001111001000001011010110000000000000011000010000110000000000000000010010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000000110000001000100100010011110010000010110101100000000000000110000100001100000000000000000100100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000001100000010001001001100111100010000101101011000000000000001100001000011000000000000000001001000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000011100000100010010011110000000100001011010110000000000000011000010000110000000000000100010010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000000111000001000100100011100000001000010110101100000000000000110000100001100000000000001000100100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000001110000010001001001111000000110000101101011000000000000001100001000011000000000000010001001000000010000000110000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000000011110000000111101110000000001100001011010110000000000000011000010000110000000000000010010010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000000111100000001111011000000000011000010110101100000000000000110000100001100000000000000100100100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000001111000000011110111000000000000000101101011000000000000001100001000011000000000000001001001000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000000011000000110010010011001010000000001011010110000000000000011000010000110000000000000010010010000000100000001100000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000000110000001100100100010010100000000010110101100000000000000110000100001100000000000000100100100000001000000011000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000001100000011001001001100101000100000100101001000000000000001100001000011000000000000001001001000000010000000110000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000011100000110010010010110011101000001001010010000000000000011000010000110000000000000110010010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000000111000001100100100001100111010000010010100100000000000000110000100001100000000000001100100100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000001110000011001001001011001110000000100101001000000000000001100001000011000000000000011001001000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000011000000101010010010011010100000001001010010000000000000011000010000110000000000000001010010000000100000001100000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000000110000001010100100000110101000000010010100100000000000000110000100001100000000000000010100100000001000000011000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000001100000010101001001001101010100000001101011000000000000001100001000011000000000000000101001000000010000000110000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000011100000101010010010010111001000000011010110000000000000011000010000110000000000000101010010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000000111000001010100100000101110010000000110101100000000000000110000100001100000000000001010100100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000001110000010101001001001011100010000001101011000000000000001100001000011000000000000010101001000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000011000000111010010011110000000100000011010110000000000000011000010000110000000000000011010010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000000110000001110100100011100000001000000110101100000000000000110000100001100000000000000110100100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000001100000011101001001111000000110000001101011000000000000001100001000011000000000000001101001000000010000000110000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000000011010000001011101111001000001100000011010110000000000000011000010000110000000000000111010010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000000110100000010111011010010000011000000110101100000000000000110000100001100000000000001110100100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000001101000000101110111100100000000000001101011000000000000001100001000011000000000000011101001000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000000011100000111010010010000101100000000011010110000000000000011000010000110000000000000111010010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000000111000001110100100000001011000000000110101100000000000000110000100001100000000000001110100100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000001110000011101001001000010110100000000010111000000000000001100001000011000000000000011101001000000010000000110000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000011000000100110010011010000001000000000101110000000000000011000010000110000000000000000110010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000000110000001001100100010100000010000000001011100000000000000110000100001100000000000000001100100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000001100000010011001001101000000010000000010111000000000000001100001000011000000000000000011001000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000011000000111110010011011000100100000000101110000000000000011000010000110000000000000100110010000000100000001100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000000110000001111100100010110001001000000001011100000000000000110000100001100000000000001001100100000001000000011000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000001100000011111001001101100010000000000010111000000000000001100001000011000000000000010011001000000010000000110000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000011000000111110010011011000100000000000101110000000000000011000010000110000000000000011110010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000000110000001111100100010110001000000000001011100000000000000110000100001100000000000000111100100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000001100000011111001001101100010100000101100011000000000000001100001000011000000000000001111001000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000011100000111110010011001111001000001011000110000000000000011000010000110000000000000111110010000000100000001100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000000111000001111100100010011110010000010110001100000000000000110000100001100000000000001111100100000001000000011000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000001110000011111001001100111100010000101100011000000000000001100001000011000000000000011111001000000010000000110000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100000011000000100001010011110000000100001011000110000000000000011000010000110000000000000000001010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000000110000001000010100011100000001000010110001100000000000000110000100001100000000000000000010100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000001100000010000101001111000000110000101100011000000000000001100001000011000000000000000000101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100000011110000000111101110000000001100001011000110000000000000011000010000110000000000000100001010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000000111100000001111011000000000011000010110001100000000000000110000100001100000000000001000010100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000001111000000011110111000000000000000101100011000000000000001100001000011000000000000010000101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000011100000100001010011001010000000001011000110000000000000011000010000110000000000000100001010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000000111000001000010100010010100000000010110001100000000000000110000100001100000000000001000010100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000001110000010000101001100101000100000100101001000000000000001100001000011000000000000010000101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000011000000110001010011110011101000001001010010000000000000011000010000110000000000000010001010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000000110000001100010100011100111010000010010100100000000000000110000100001100000000000000100010100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000001100000011000101001111001110000000100101001000000000000001100001000011000000000000001000101000000010000000110000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000011100000110001010011011000100000001001010010000000000000011000010000110000000000000110001010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000000111000001100010100010110001000000010010100100000000000000110000100001100000000000001100010100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000001110000011000101001101100010100000101100011000000000000001100001000011000000000000011000101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100000011000000101001010011000000001000001011000110000000000000011000010000110000000000000001001010000000100000001100000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000000110000001010010100010000000010000010110001100000000000000110000100001100000000000000010010100000001000000011000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000001100000010100101001100000000010000101100011000000000000001100001000011000000000000000100101000000010000000110000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100000011100000101001010010000010000100001011000110000000000000011000010000110000000000000101001010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000000111000001010010100000000100001000010110001100000000000000110000100001100000000000001010010100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000001110000010100101001000001000110000101100011000000000000001100001000011000000000000010100101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000011100010000000000010000000001100001011000110000000000000001000010000110000000000000011001010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000000111000100000000000000000000011000010110001100000000000000010000100001100000000000000110010100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000001110001000000000001000000000000000101100011000000000000000100001000011000000000000001100101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000011000000111001010010111010100000001011000110000000000000011000010000110000000000000011001010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000000110000001110010100001110101000000010110001100000000000000110000100001100000000000000110010100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000001100000011100101001011101010100000011101011000000000000001100001000011000000000000001100101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000011100000111001010010100000001000000111010110000000000000011000010000110000000000000111001010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000000111000001110010100001000000010000001110101100000000000000110000100001100000000000001110010100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000001110000011100101001010000000010000011101011000000000000001100001000011000000000000011100101000000010000000110000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000011000000100101010010000010000100000111010110000000000000011000010000110000000000000000101010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000000110000001001010100000000100001000001110101100000000000000110000100001100000000000000001010100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000001100000010010101001000001000110000011101011000000000000001100001000011000000000000000010101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100000011000010010000000010000000101100000111010110000000000000001000010000110000000000000100101010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000000110000100100000000000000001011000001110101100000000000000010000100001100000000000001001010100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000001100001001000000001000000010000000011101011000000000000000100001000011000000000000010010101000000010000000110000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100000011100000100101010011001010100000000111010110000000000000011000010000110000000000000100101010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000000111000001001010100010010101000000001110101100000000000000110000100001100000000000001001010100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000001110000010010101001100101010100000100101011000000000000001100001000011000000000000010010101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000011000000110101010010000000001000001001010110000000000000011000010000110000000000000010101010000000100000001100000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000000110000001101010100000000000010000010010101100000000000000110000100001100000000000000101010100000001000000011000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000001100000011010101001000000000000000100101011000000000000001100001000011000000000000001010101000000010000000110000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000011100000110101010010000010000000001001010110000000000000011000010000110000000000000110101010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000000111000001101010100000000100000000010010101100000000000000110000100001100000000000001101010100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000001110000011010101001000001000100000000001001000000000000001100001000011000000000000011010101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000011000000101101010010110011101000000000010010000000000000011000010000110000000000000001101010000000100000001100000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000000110000001011010100001100111010000000000100100000000000000110000100001100000000000000011010100000001000000011000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000001100000010110101001011001110010000000001001000000000000001100001000011000000000000000110101000000010000000110000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000011000000001111111010000000100100000000010010000000000000011000010000110000000000000101101010000000100000001000000000000001111111111111111000011000000010001001100000000000000000000000000000000100000000001000000110000000011111110000000001001000000000100100000000000000110000100001100000000000001011010100000001000000010000000000000011111111111111110000110000000100010011000000000000000000000000000000001000000000010000001100000000111111101000000010110000000001001000000000000001100001000011000000000000010110101000000010000000100000000000000111111111111111100001100001001000100110000000000000000000000000000000010000000000100000011100000010111111011011010101100000000010010000000000000011000010000110000000000000101101010000000100000001000000000000001111111111111111000011000010010001001100000000000000000000000000000000100000000001000000111000000101111110010110101011000000000100100000000000000110000100001100000000000001011010100000001000000010000000000000011111111111111110000110000100100010011000000000000000000000000000000001000000000010000001110000001011111101101101010001000000001001000000000000001100001000011000000000000010110101000000010000000100000000000000111111111111111100001100000001000100100000000000100000000000000000000010000000000100000011100000101101010010111000100010000000010010000000000000011000010000110000000000000101101010000000100000001100000000000001111111111111111000011000000010001001000000000001000000000000000000000100000000001000000111000001011010100001110001000100000000100100000000000000110000100001100000000000001011010100000001000000011000000000000011111111111111110000110000000100010010000000000010000000000000000000001000000000010000001110000010110101001011100010101000000001001000000000000001100001000011000000000000010110101000000010000000110000000000000111111111111111100001100001001000100100000000000100000000000000000000010000000000100000011111111111111111111111111101010000000010010000000000000011000010000110000000000000101101010000000100000001100000000000001111111111111111000011000010010001001000000000001000000000000000000000100000000001000000111111111111111111011111111010100000000100100000000000000110000100001100000000000001011010100000001000000011000000000000011111111111111110000110000100100010010000000000010000000000000000000001000000000010000001111111111111111111111111110000000000001001000000000000001100001000011000000000000010110101000000010000000110000000000000111111111111111100001100000001000100110000000000100000000000000000000010000000000100000011011000111001110111011000100000000000010010000000000000011000010000110000000000000011001110111000100000001100000000000001111111111111111000011000000010001001100000000001000000000000000000000100000000001000000110110001110011101010110001000000000000100100000000000000110000100001100000000000000110011101110001000000011000000000000011111111111111110000110000000100010011000000000010000000000000000000001000000000010000001101100011100111011101100010100000101100011000000000000001100001000011000000000000001100111011100010000000110000000000000111111111111111100001100001001000100110000000000100000000000000000000010000000000100000011111000111001110111010000001000001011000110000000000000011000010000110000000000000111001110111000100000001100000000000001111111111111111000011000010010001001100000000001000000000000000000000100000000001000000111110001110011101010100000010000010110001100000000000000110000100001100000000000001110011101110001000000011000000000000011111111111111110000110000100100010011000000000010000000000000000000001000000000010000001111100011100111011101000000010000101100011000000000000001100001000011000000000000011100111011100010000000110000000000000111111111111111100001100000001000100100000000000000000000001000000000010000000000100000011011000100101110110000010000100001011000110000000000000011000010000110000000000000000101110111000100000001100000000000001111111111111111000011000000010001001000000000000000000000010000000000100000000001000000110110001001011101000000100001000010110001100000000000000110000100001100000000000000001011101110001000000011000000000000011111111111111110000110000000100010010000000000000000000000100000000001000000000010000001101100010010111011000001000110000101100011000000000000001100001000011000000000000000010111011100010000000110000000000000111111111111111100001100001001000100100000000000000000000001000000000010000000000100000011100010001000000010000000001100001011000110000000000000001000010000110000000000000100101110111000100000001000000000000001111111111111111000011000010010001001000000000000000000000010000000000100000000001000000111000100010000000000000000011000010110001100000000000000010000100001100000000000001001011101110001000000010000000000000011111111111111110000110000100100010010000000000000000000000100000000001000000000010000001110001000100000001000000000000000101100011000000000000000100001000011000000000000010010111011100010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100000011111000100101110111011000100000001011000110000000000000011000010000110000000000000100101110111000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000000111110001001011101010110001000000010110001100000000000000110000100001100000000000001001011101110001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000010000001111100010010111011101100010100000101100011000000000000001100001000011000000000000010010111011100010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000100000011011000110101110111010000001000001011000110000000000000011000010000110000000000000010101110111000100000001100000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000001000000110110001101011101010100000010000010110001100000000000000110000100001100000000000000101011101110001000000011000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000010000001101100011010111011101000000010000101100011000000000000001100001000011000000000000001010111011100010000000110000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000100000011111000110101110110000010000100001011000110000000000000011000010000110000000000000110101110111000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000001000000111110001101011101000000100001000010110001100000000000000110000100001100000000000001101011101110001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000010000001111100011010111011000001000110000101100011000000000000001100001000011000000000000011010111011100010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100000011100010001000000010000000001100001011000110000000000000001000010000110000000000000001101110111000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000000111000100010000000000000000011000010110001100000000000000010000100001100000000000000011011101110001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000001110001000100000001000000000000000101100011000000000000000100001000011000000000000000110111011100010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100000011011000101101110110000011000000001011000110000000000000011000010000110000000000000001101110111000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000000110110001011011101000000110000000010110001100000000000000110000100001100000000000000011011101110001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000001101100010110111011000001100100000000001101000000000000001100001000011000000000000000110111011100010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100000011000000010111111011110111001000000000011010000000000000011000010000110000000000000101101110111000100000001100000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000000110000000101111110011101110010000000000110100000000000000110000100001100000000000001011011101110001000000011000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000001100000001011111101111011100010000000001101000000000000001100001000011000000000000010110111011100010000000110000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001011100000010111111011011010100100000000011010000000000000011000010000110000000000000101101110111000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000010111000000101111110010110101001000000000110100000000000000110000100001100000000000001011011101110001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000101110000001011111101101101010110000000001101000000000000001100001000011000000000000010110111011100010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001011000000001111111010000000101100000000011010000000000000011000010000110000000000000101101010111000100000001100000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000010110000000011111110000000001011000000000110100000000000000110000100001100000000000001011010101110001000000011000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000101100000000111111101000000010001000000001101000000000000001100001000011000000000000010110101011100010000000110000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001011011111111111111110000111100010000000011010000000000000011000010000110000000000000101101010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000010110111111111111111000001111000100000000110100000000000000110000100001100000000000001011010100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000101101111111111111111000011110101000000001101000000000000001100001000011000000000000010110101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001011100000101101010010111000101010000000011010000000000000011000010000110000000000000101101010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000010111000001011010100001110001010100000000110100000000000000110000100001100000000000001011010100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000101110000010110101001011100010000000000001101000000000000001100001000011000000000000010110101000000010000000110000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001011000000111101010011011000100000000000011010000000000000011000010000110000000000000011101010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000010110000001111010100010110001000000000000110100000000000000110000100001100000000000000111010100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000101100000011110101001101100010100000101100011000000000000001100001000011000000000000001110101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001011100000111101010011100000001000001011000110000000000000011000010000110000000000000111101010000000100000001100000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000010111000001111010100011000000010000010110001100000000000000110000100001100000000000001111010100000001000000011000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000101110000011110101001110000000010000101100011000000000000001100001000011000000000000011110101000000010000000110000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001011000000100011010010000010000100001011000110000000000000011000010000110000000000000000011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000010110000001000110100000000100001000010110001100000000000000110000100001100000000000000000110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000101100000010001101001000001000110000101100011000000000000001100001000011000000000000000001101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001011100010010000000010000000001100001011000110000000000000001000010000110000000000000100011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000010111000100100000000000000000011000010110001100000000000000010000100001100000000000001000110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000101110001001000000001000000000000000101100011000000000000000100001000011000000000000010001101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001011100000100011010011001010100000001011000110000000000000011000010000110000000000000100011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000010111000001000110100010010101000000010110001100000000000000110000100001100000000000001000110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000101110000010001101001100101010100000100101011000000000000001100001000011000000000000010001101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001011000000110011010010100000001000001001010110000000000000011000010000110000000000000010011010000000100000001100000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000010110000001100110100001000000010000010010101100000000000000110000100001100000000000000100110100000001000000011000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000101100000011001101001010000000000000100101011000000000000001100001000011000000000000001001101000000010000000110000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001011100000110011010011011000100000001001010110000000000000011000010000110000000000000110011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000010111000001100110100010110001000000010010101100000000000000110000100001100000000000001100110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000101110000011001101001101100010100000101100011000000000000001100001000011000000000000011001101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001011000000101011010010010100001000001011000110000000000000011000010000110000000000000001011010000000100000001100000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000010110000001010110100000101000010000010110001100000000000000110000100001100000000000000010110100000001000000011000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000101100000010101101001001010000010000101100011000000000000001100001000011000000000000000101101000000010000000110000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001011100000101011010010000001000100001011000110000000000000011000010000110000000000000101011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000010111000001010110100000000010001000010110001100000000000000110000100001100000000000001010110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000101110000010101101001000000100110000101100011000000000000001100001000011000000000000010101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001011000001001010000010100000001100001011000110000000000000011000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000010110000010010100000001000000011000010110001100000000000000110000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000101100000100101000001010000000000000101100011000000000000001100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001011111111111111111111111111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000010111111111111111111011111111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000101111111111111111111111111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001011100010010000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000010111000100100000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000101110001001000000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001011000000000000000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000010110000000000000001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000101100000000000000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001011000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000010110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000101100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001011100000000000000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000010111000000000000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000101110000000000000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001011000000010000000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000010110000000100000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000101100000001000000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001011100000010000000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000010111000000100000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000101110000001000000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001011000000001000000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000010110000000010000001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000101100000000100000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000001011000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000010110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000101100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000001011100000001000000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000010111000000010000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000101110000000100000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001011000000011000000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000010110000000110000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000101100000001100000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001011100000011000000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000010111000000110000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000101110000001100000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100001011000000000100000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000010110000000001000001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000101100000000010000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001011000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000010110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000101100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001011100000000100000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000010111000000001000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000101110000000010000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100001011000000010100000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000010110000000101000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000101100000001010000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100001011100000010100000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000010111000000101000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000101110000001010000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001011000000001100000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000010110000000011000001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000101100000000110000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001011000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000010110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000101100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100001011100000001100000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000010111000000011000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000101110000000110000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001011000000011100000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000010110000000111000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000101100000001110000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001011100000011100000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000010111000000111000001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000101110000001110000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100001011000000000010000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000010110000000000100001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000101100000000001000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100001011000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000010110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000101100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001011100000000010000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000010111000000000100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000101110000000001000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001011000000010010000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000010110000000100100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000101100000001001000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100100000000000100000000000000000000010000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001000000000001000000000000000000000100000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010010000000000010000000000000000000001000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100110000000000100000000000000000000010000000000100001011100000010010000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001100000000001000000000000000000000100000000001000010111000000100100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010011000000000010000000000000000000001000000000010000101110000001001000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100110000000000100000000000000000000010000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001100000000001000000000000000000000100000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010011000000000010000000000000000000001000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100100000000000000000000001000000000010000000000100001011000000001010000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001000000000000000000000010000000000100000000001000010110000000010100001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010010000000000000000000000100000000001000000000010000101100000000101000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100100000000000000000000001000000000010000000000100001011000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001000000000000000000000010000000000100000000001000010110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010010000000000000000000000100000000001000000000010000101100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100110000000000000000000001000000000010000000000100001011100000001010000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001100000000000000000000010000000000100000000001000010111000000010100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010011000000000000000000000100000000001000000000010000101110000000101000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100110000000000000000000001000000000010000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001100000000000000000000010000000000100000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010011000000000000000000000100000000001000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100100000000000100000000001000000000010000000000100001011000000011010000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001000000000001000000000010000000000100000000001000010110000000110100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010010000000000010000000000100000000001000000000010000101100000001101000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000100001011100000011010000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000001000010111000000110100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000010000101110000001101000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000100001011000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000001000010110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000101100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000000111000000000110000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000001110000000001100001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000011100000000011000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000000111100000000110000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000001111000000001100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000011110000000011000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000000111000000010110000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000001110000000101100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000011100000001011000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000000111100000010110000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000001111000000101100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000011110000001011000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000000111000000001110000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000001110000000011100001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000011100000000111000011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000000111100000001110000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000001111000000011100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000011110000000111000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000000111000000011110000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000001110000000111100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000011100000001111000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000000111100000011110000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000001111000000111100001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000011110000001111000011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000000111000000000001000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000001110000000000010001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000011100000000000100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000000111100000000001000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000001111000000000010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000011110000000000100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000000111000000010001000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000001110000000100010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000011100000001000100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000000111100000010001000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000001111000000100010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000011110000001000100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000000111000000001001000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000001110000000010010001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000011100000000100100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000000111100000001001000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000001111000000010010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000011110000000100100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000000111000000011001000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000001110000000110010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000011100000001100100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000000111100000011001000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000001111000000110010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000011110000001100100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100000111000000000101000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000001110000000001010001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000011100000000010100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100000111100000000101000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000001111000000001010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000011110000000010100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100000111000000010101000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000001110000000101010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000011100000001010100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100000111100000010101000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000001111000000101010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000011110000001010100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100000111000000001101000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000001110000000011010001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000011100000000110100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100000111100000001101000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000001111000000011010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000011110000000110100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100000111000000011101000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000001110000000111010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000011100000001110100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100000111100000011101000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000001111000000111010001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000011110000001110100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100000111000000000011000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000001110000000000110001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000011100000000001100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100000111100000000011000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000001111000000000110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000011110000000001100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100000111000000010011000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000001110000000100110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000011100000001001100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100000111100000010011000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000001111000000100110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000011110000001001100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100100000000000000000000001000000000010000000000100000111000000001011000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001000000000000000000000010000000000100000000001000001110000000010110001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010010000000000000000000000100000000001000000000010000011100000000101100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100100000000000000000000001000000000010000000000100000111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001000000000000000000000010000000000100000000001000001110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010010000000000000000000000100000000001000000000010000011100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100110000000000000000000001000000000010000000000100000111100000001011000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001100000000000000000000010000000000100000000001000001111000000010110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010011000000000000000000000100000000001000000000010000011110000000101100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100110000000000000000000001000000000010000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001100000000000000000000010000000000100000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010011000000000000000000000100000000001000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100100000000000100000000001000000000010000000000100000111000000011011000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001000000000001000000000010000000000100000000001000001110000000110110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010010000000000010000000000100000000001000000000010000011100000001101100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100100000000000100000000001000000000010000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001000000000001000000000010000000000100000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010010000000000010000000000100000000001000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100110000000000100000000001000000000010000000000100000111100000011011000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001100000000001000000000010000000000100000000001000001111000000110110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010011000000000010000000000100000000001000000000010000011110000001101100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100110000000000100000000001000000000010000000000100000111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001100000000001000000000010000000000100000000001000001110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000010000011100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000000001111000000000111000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000000000011110000000001110001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000000000111100000000011100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000000001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000000000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000000000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000000001111100000000111000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000000000011111000000001110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000000000111110000000011100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000000001111000000010111000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000000000011110000000101110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000000000111100000001011100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000000001111100000010111000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000000000011111000000101110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000000000111110000001011100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000000001111000000001111000110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000000000011110000000011110001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000000000111100000000111100011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000000001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000000000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000000000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000000001111100000001111000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000000000011111000000011110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000000000111110000000111100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000000001111000000011111000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000000000011110000000111110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000000000111100000001111100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000000001111100000011111000110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000000000011111000000111110001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000000000111110000001111100011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000000001111000000000000100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000000000011110000000000001001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000000000111100000000000010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000000001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000000000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000000000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000000001111100000000000100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000000000011111000000000001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000000000111110000000000010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000000001111000000010000100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000000000011110000000100001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000000000111100000001000010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000000001111100000010000100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000000000011111000000100001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000000000111110000001000010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000000001111000000001000100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000000000011110000000010001001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000000000111100000000100010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000000001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000000000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000000000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000000001111100000001000100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000000000011111000000010001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000001000000000000000111110000000100010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000010000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000100000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000001000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000010000000000000001111000000011000100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000100000000000000011110000000110001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000001000000000000000111100000001100010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000010000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000100000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000001000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000010000000000000001111100000011000100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000100000000000000011111000000110001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000001000000000000000111110000001100010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000010000000000000001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000100000000000000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000001000000000000000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000000000000000100001111000000000100100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000000000000001000011110000000001001001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000000000000000010000111100000000010010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000000000000000100001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000000000000001000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000000000000000010000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000000000000000100001111100000000100100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000000000000001000011111000000001001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000000000000000010000111110000000010010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000000000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000000000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000000000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000000000000000100001111000000010100100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000000000000001000011110000000101001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000000000000000010000111100000001010010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000000000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000000000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000000000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000000000000000100001111100000010100100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000000000000001000011111000000101001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000000000000000010000111110000001010010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000000000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000000000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000000000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000000000000000100001111000000001100100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000000000000001000011110000000011001001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000000000000000010000111100000000110010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000000000000000100001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000000000000001000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000000000000000010000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000000000000000100001111100000001100100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000000000000001000011111000000011001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000100000000000000000000010000111110000000110010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000001000000000000000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000010000000000000000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000100000000000000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000001000000000000000000000100001111000000011100100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000010000000000000000000001000011110000000111001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000100000000000000000000010000111100000001110010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000001000000000000000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000010000000000000000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000100000000000000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000001000000000000000000000100001111100000011100100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000010000000000000000000001000011111000000111001001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000100000000000000000000010000111110000001110010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000001000000000000000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000010000000000000000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000100000000000000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000000000000000010000000000100001111000000000010100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000000000000000100000000001000011110000000000101001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000000000000001000000000010000111100000000001010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000000000000000010000000000100001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000000000000000100000000001000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000000000000001000000000010000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000000000000000010000000000100001111100000000010100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000000000000000100000000001000011111000000000101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000000000000000000000000001000000000010000111110000000001010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000000000000000000000000010000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000000000000000000000000000100000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000000000000000000000000001000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000100000000000000000000010000000000100001111000000010010100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000001000000000000000000000100000000001000011110000000100101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000010000000000000000000001000000000010000111100000001001010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000100000000000000000000010000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000001000000000000000000000100000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000010000000000000000000001000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000100000000000000000000010000000000100001111100000010010100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000001000000000000000000000100000000001000011111000000100101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010011000000000010000000000000000000001000000000010000111110000001001010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100110000000000100000000000000000000010000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001100000000001000000000000000000000100000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010011000000000010000000000000000000001000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100100000000000000000000001000000000010000000000100001111000000001010100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001000000000000000000000010000000000100000000001000011110000000010101001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000000100010010000000000000000000000100000000001000000000010000111100000000101010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001001000100100000000000000000000001000000000010000000000100001111000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000010010001001000000000000000000000010000000000100000000001000011110000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000100100010010000000000000000000000100000000001000000000010000111100001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000001000100110000000000000000000001000000000010000000000100001111100000001010100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000000010001001100000000000000000000010000000000100000000001000011111000000010101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010011000000000000000000000100000000001000000000010000111110000000101010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100110000000000000000000001000000000010000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001100000000000000000000010000000000100000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010011000000000000000000000100000000001000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100100000000000100000000001000000000010000000000100001111000000011010100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001000000000001000000000010000000000100000000001000011110000000110101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010010000000000010000000000100000000001000000000010000111100000001101010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100100000000000100000000001000000000010000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001000000000001000000000010000000000100000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010010000000000010000000000100000000001000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000001000100110000000000100000000001000000000010000000000100001111100000011010100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000000010001001100000000001000000000010000000000100000000001000011111000000110101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000000100010011000000000010000000000100000000001000000000010000111110000001101010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001001000100110000000000100000000001000000000010000000000100001111000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000010010001001100000000001000000000010000000000100000000001000011110000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000100100010011000000000010000000000100000000001000000000010000111100001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100100000000000000000000000000000000000000000000000000000000000000110100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001000000000000000000000000000000000000000000000000000000000000001101001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010010000000000000000000000000000000000000000000000000000000000000011010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100100000000000000000000000000000000000000000000000000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001000000000000000000000000000000000000000000000000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010010000000000000000000000000000000000000000000000000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100110000000000000000000000000000000000000000000000000000100000000110100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001100000000000000000000000000000000000000000000000000001000000001101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000000000000000000000000010000000011010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000000000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000000000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000000000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000000000000000000000000000000010110100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000000000000000000000000000000101101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000000000000000000000000000000001011010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000000000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000000000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000000000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000000000000000000000000100000010110100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000000000000000000000001000000101101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000000000000000000000000010000001011010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000000000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000000000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000000000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000000000000000000000000000000001110100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000000000000000000000000000000011101001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000000000000000000000000000000000111010011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000000000000000000000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000000000000000000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000000000000000000000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000000000000000000000000100000001110100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000000000000000000000001000000011101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000000000000000000000000010000000111010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000000000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000000000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000000000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000000000000000000000000000000011110100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000000000000000000000000000000111101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000000000000000000000000000000001111010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000000000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000000000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000000000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000000000000000000000000100000011110100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000000000000000000000001000000111101001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000000000000000000000000010000001111010011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000000000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000000000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000000000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000010000000000000000000000000000001100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000100000000000000000000000000000011001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000001000000000000000000000000000000110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000010000000000000000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000100000000000000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000001000000000000000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000010000000000000000000100000000001100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000100000000000000000001000000000011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000001000000000000000000010000000000110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000010000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000100000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000001000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000010000000000000000000000000010001100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000100000000000000000000000000100011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000001000000000000000000000000001000110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000010000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000100000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000001000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000010000000000000000000100000010001100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000100000000000000000001000000100011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000001000000000000000000010000001000110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000010000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000100000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000001000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000010000000000000000000000000001001100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000100000000000000000000000000010011001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000001000000000000000000000000000100110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000010000000000000000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000100000000000000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000001000000000000000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000010000000000000000000100000001001100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000100000000000000000001000000010011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000001000000000000000000010000000100110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000010000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000100000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000001000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000010000000000000000000000000011001100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000100000000000000000000000000110011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000001000000000000000000000000001100110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000010000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000100000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000001000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000010000000000000000000100000011001100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000100000000000000000001000000110011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000001000000000000000000010000001100110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000010000000000000000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000100000000000000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000001000000000000000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000000000000000100000000000000000101100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000000000000001000000000000000001011001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000000000000000010000000000000000010110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000000000000000100000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000000000000001000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000000000000000010000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000000000000000100000000100000000101100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000000000000001000000001000000001011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000000000000000010000000010000000010110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000000000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000000000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000000000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000000000000000100000000000000010101100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000000000000001000000000000000101011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000000000000000010000000000000001010110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000000000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000000000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000000000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000000000000000100000000100000010101100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000000000000001000000001000000101011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000000000000000010000000010000001010110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000000000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000000000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000000000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000000000000000100000000000000001101100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000000000000001000000000000000011011001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000000000000000010000000000000000110110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000000000000000100000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000000000000001000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000000000000000010000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000000000000000100000000100000001101100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000000000000001000000001000000011011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000000000000000010000000010000000110110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000000000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000000000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000000000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000000000000000100000000000000011101100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000000000000001000000000000000111011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000000000000000010000000000000001110110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000000000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000000000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000000000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000000000000000100000000100000011101100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000000000000001000000001000000111011001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000000000000000010000000010000001110110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000000000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000000000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000000000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000010000000000100000000000000000011100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000100000000001000000000000000000111001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000001000000000010000000000000000001110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000010000000000100000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000100000000001000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000001000000000010000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000010000000000100000000100000000011100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000100000000001000000001000000000111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000001000000000010000000010000000001110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000010000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000100000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000001000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000010000000000100000000000000010011100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000100000000001000000000000000100111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000001000000000010000000000000001001110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000010000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000100000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000001000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000010000000000100000000100000010011100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000100000000001000000001000000100111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000001000000000010000000010000001001110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000010000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000100000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000001000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000010000000000100000000000000001011100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000100000000001000000000000000010111001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000001000000000010000000000000000101110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000010000000000100000000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000100000000001000000000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000001000000000010000000000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000010000000000100000000100000001011100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000100000000001000000001000000010111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000001000000000010000000010000000101110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000010000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000100000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000001000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000010000000000100000000000000011011100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000100000000001000000000000000110111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000001000000000010000000000000001101110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000010000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000100000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010010000000000010000000000100000000001000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100110000000000100000000001000000000010000000000100000000100000011011100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001100000000001000000000010000000000100000000001000000001000000110111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010011000000000010000000000100000000001000000000010000000010000001101110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100110000000000100000000001000000000010000000000100000000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001100000000001000000000010000000000100000000001000000000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010011000000000010000000000100000000001000000000010000000000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100100000000000000000000000000000000000000000000000001000000000000111100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001000000000000000000000000000000000000000000000000010000000000001111001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010010000000000000000000000000000000000000000000000000100000000000011110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100100000000000000000000000000000000000000000000000001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001000000000000000000000000000000000000000000000000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010010000000000000000000000000000000000000000000000000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100110000000000000000000000000000000000000000000000001000100000000111100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001100000000000000000000000000000000000000000000000010001000000001111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010011000000000000000000000000000000000000000000000000100010000000011110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100110000000000000000000000000000000000000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001100000000000000000000000000000000000000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010011000000000000000000000000000000000000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100100000000000100000000000000000000000000000000000001000000000010111100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001000000000001000000000000000000000000000000000000010000000000101111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010010000000000010000000000000000000000000000000000000100000000001011110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100100000000000100000000000000000000000000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000000000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000000000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000000000000000000001000100000010111100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000000000000000000010001000000101111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000000000000000000000100010000001011110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000000000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000000000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000000000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000000000000000000001000000000001111100110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000000000000000000010000000000011111001000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000000000000000000000100000000000111110011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000000000000000000001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000000000000000000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000000000000000000000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000000000000000000001000100000001111100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000000000000000000010001000000011111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000000000000000000000100010000000111110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000000000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000000000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000000000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000000000000000000001000000000011111100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000000000000000000010000000000111111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000000000000000000000100000000001111110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000000000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000000000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000000000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000000000000000000001000100000011111100110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000000000000000000010001000000111111001000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000000000000000000000100010000001111110011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000000000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000000000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000000000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000010000000000000001000000000000000010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000100000000000000010000000000000000101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000001000000000000000100000000000000001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000010000000000000001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000100000000000000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000001000000000000000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000010000000000000001000100000000000010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000100000000000000010001000000000000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000001000000000000000100010000000000001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000010000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000100000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000001000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000010000000000000001000000000010000010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000100000000000000010000000000100000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000001000000000000000100000000001000001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000010000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000100000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000001000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000010000000000000001000100000010000010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000100000000000000010001000000100000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000001000000000000000100010000001000001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000010000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000100000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000001000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000010000000000000001000000000001000010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000100000000000000010000000000010000101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000001000000000000000100000000000100001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000010000000000000001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000100000000000000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000001000000000000000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000010000000000000001000100000001000010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000100000000000000010001000000010000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000001000000000000000100010000000100001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000010000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000100000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000001000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000010000000000000001000000000011000010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000100000000000000010000000000110000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000001000000000000000100000000001100001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000010000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000100000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000001000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000010000000000000001000100000011000010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000100000000000000010001000000110000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000001000000000000000100010000001100001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000010000000000000001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000100000000000000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000001000000000000000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000000000000000100001000000000000100010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000000000000001000010000000000001000101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000000000000000010000100000000000010001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000000000000000100001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000000000000001000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000000000000000010000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000000000000000100001000100000000100010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000000000000001000010001000000001000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000000000000000010000100010000000010001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000000000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000000000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000000000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000000000000000100001000000000010100010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000000000000001000010000000000101000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000000000000000010000100000000001010001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000000000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000000000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000000000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000000000000000100001000100000010100010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000000000000001000010001000000101000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000000000000000010000100010000001010001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000000000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000000000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000000000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000000000000000100001000000000001100010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000000000000001000010000000000011000101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000000000000000010000100000000000110001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000000000000000100001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000000000000001000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000000000000000010000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000000000000000100001000100000001100010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000000000000001000010001000000011000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000000000000000010000100010000000110001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000000000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000000000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000000000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000000000000000100001000000000011100010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000000000000001000010000000000111000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000000000000000010000100000000001110001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000000000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000000000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000000000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000000000000000100001000100000011100010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000000000000001000010001000000111000101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000000000000000010000100010000001110001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000000000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000000000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000000000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000010000000000100001000000000000010010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000100000000001000010000000000000100101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000001000000000010000100000000000001001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000010000000000100001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000100000000001000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000001000000000010000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000010000000000100001000100000000010010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000100000000001000010001000000000100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000001000000000010000100010000000001001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000010000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000100000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000001000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000010000000000100001000000000010010010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000100000000001000010000000000100100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000001000000000010000100000000001001001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000010000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000100000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000001000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000010000000000100001000100000010010010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000100000000001000010001000000100100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000001000000000010000100010000001001001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000010000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000100000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000001000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000010000000000100001000000000001010010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000100000000001000010000000000010100101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000001000000000010000100000000000101001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000010000000000100001000000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000100000000001000010000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000001000000000010000100000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000010000000000100001000100000001010010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000100000000001000010001000000010100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000001000000000010000100010000000101001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000010000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000100000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000001000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000010000000000100001000000000011010010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000100000000001000010000000000110100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000001000000000010000100000000001101001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000010000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000100000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000001000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000010000000000100001000100000011010010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000100000000001000010001000000110100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000001000000000010000100010000001101001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000010000000000100001000000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000100000000001000010000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000001000000000010000100000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000000000000000000000100000000000110010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001000000000000000000000000000000000000000000000000001000000000001100101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010010000000000000000000000000000000000000000000000000010000000000011001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100100000000000000000000000000000000000000000000000000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001000000000000000000000000000000000000000000000000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010010000000000000000000000000000000000000000000000000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100110000000000000000000000000000000000000000000000000100100000000110010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001100000000000000000000000000000000000000000000000001001000000001100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010011000000000000000000000000000000000000000000000000010010000000011001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100110000000000000000000000000000000000000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001100000000000000000000000000000000000000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010011000000000000000000000000000000000000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100100000000000100000000000000000000000000000000000000100000000010110010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001000000000001000000000000000000000000000000000000001000000000101100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010010000000000010000000000000000000000000000000000000010000000001011001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100100000000000100000000000000000000000000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001000000000001000000000000000000000000000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010010000000000010000000000000000000000000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100110000000000100000000000000000000000000000000000000100100000010110010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000001111111111111111000011000001010001001100000000001000000000000000000000000000000000000001001000000101100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000011111111111111110000110000010100010011000000000010000000000000000000000000000000000000010010000001011001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000000111111111111111100001100001101000100110000000000100000000000000000000000000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000001111111111111111000011000011010001001100000000001000000000000000000000000000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000011111111111111110000110000110100010011000000000010000000000000000000000000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000000111111111111111100001100000101000100100000000000000000000001000000000000000000000000000100000000001110010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000000000000000000001000000000011100101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000000000000000000000010000000000111001011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000000000000000000000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000000000000000000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000000000000000000000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000000000000000000000100100000001110010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000000000000000000001001000000011100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000000000000000000000010010000000111001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000000000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000000000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000000000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000000000000000000000100000000011110010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000000000000000000001000000000111100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000000000000000000000010000000001111001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000000000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000000000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000000000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000000000000000000000100100000011110010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000000000000000000001001000000111100101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000000000000000000000010010000001111001011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000000000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000000000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000000000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000010000000000000000100000000000001010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000100000000000000001000000000000010101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000001000000000000000010000000000000101011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000010000000000000000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000100000000000000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000001000000000000000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000010000000000000000100100000000001010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000100000000000000001001000000000010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000001000000000000000010010000000000101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000010000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000100000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000001000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000010000000000000000100000000010001010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000100000000000000001000000000100010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000001000000000000000010000000001000101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000010000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000100000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000001000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000010000000000000000100100000010001010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000100000000000000001001000000100010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000001000000000000000010010000001000101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000010000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000100000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000001000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000010000000000000000100000000001001010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000100000000000000001000000000010010101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000001000000000000000010000000000100101011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000010000000000000000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000100000000000000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000001000000000000000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000010000000000000000100100000001001010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000100000000000000001001000000010010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000001000000000000000010010000000100101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000010000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000100000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000001000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000010000000000000000100000000011001010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000100000000000000001000000000110010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000001000000000000000010000000001100101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000010000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000100000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000001000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000010000000000000000100100000011001010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000100000000000000001001000000110010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000001000000000000000010010000001100101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000010000000000000000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000100000000000000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000001000000000000000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000000000000000100000100000000000101010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000000000000001000001000000000001010101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000000000000000010000010000000000010101011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000000000000000100000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000000000000001000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000000000000000010000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000000000000000100000100100000000101010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000000000000001000001001000000001010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000000000000000010000010010000000010101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000000000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000000000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000000000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000000000000000100000100000000010101010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000000000000001000001000000000101010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000000000000000010000010000000001010101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000000000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000000000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000000000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000000000000000100000100100000010101010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000000000000001000001001000000101010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000000000000000010000010010000001010101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000000000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000000000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000000000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000000000000000100000100000000001101010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000000000000001000001000000000011010101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000000000000000010000010000000000110101011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000000000000000100000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000000000000001000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000000000000000010000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000000000000000100000100100000001101010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000000000000001000001001000000011010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000000000000000010000010010000000110101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000000000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000000000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000000000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000000000000000100000100000000011101010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000000000000001000001000000000111010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000000000000000010000010000000001110101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000000000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000000000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000000000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000000000000000100000100100000011101010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000000000000001000001001000000111010101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000000000000000010000010010000001110101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000000000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000000000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000000000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000010000000000100000100000000000011010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000000000000000100000000001000001000000000000110101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000000000000001000000000010000010000000000001101011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000000000000000010000000000100000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000000000000000100000000001000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000000000000001000000000010000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000000000000000010000000000100000100100000000011010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000000000000000100000000001000001001000000000110101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000000000000001000000000010000010010000000001101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000000000000000010000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000000000000000100000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000000000000001000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000000000000000010000000000100000100000000010011010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000000000000000100000000001000001000000000100110101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000000000000001000000000010000010000000001001101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000000000000000010000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000000000000000100000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000000000000001000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000000000000000010000000000100000100100000010011010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000000000000000100000000001000001001000000100110101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000000000000001000000000010000010010000001001101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000000000000000010000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000000000000000100000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000000000000001000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000001000000000010000000000100000100000000001011010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000000000000000010000000000100000000001000001000000000010110101000011111000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000000000000000100000000001000000000010000010000000000101101011000111110000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000000000000001000000000010000000000100000100000010001000000010001111100000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000000000000000010000000000100000000001000001000000100010000000000011111000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000000000000000100000000001000000000010000010000001000100000001000111110000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000000000000001000000000010000000000100000100100000001011010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000000000000000010000000000100000000001000001001000000010110101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000000000000000100000000001000000000010000010010000000101101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000000000000001000000000010000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000000000000000010000000000100000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000000000000000100000000001000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000100000000001000000000010000000000100000100000000011011010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001000000000001000000000010000000000100000000001000001000000000110110101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010010000000000010000000000100000000001000000000010000010000000001101101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100100000000000100000000001000000000010000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001000000000001000000000010000000000100000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010010000000000010000000000100000000001000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100110000000000100000000001000000000010000000000100000100100000011011010110000000000000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011000001010001001100000000001000000000010000000000100000000001000001001000000110110101000000000000000010110001100000000000000110000100001100000000000000110110100000001000000011000000000000111111111111111110000110000010100010011000000000010000000000100000000001000000000010000010010000001101101011000000000000000101100011000000000000001100001000011000000000000001101101000000010000000110000000000001111111111111111100001100001101000100110000000000100000000001000000000010000000000100000100000010001000000010000000000000001011000110000000000000001000010000110000000000000011011010000000100000001000000000000011111111111111111000011000011010001001100000000001000000000010000000000100000000001000001000000100010000000000000000000000010110001100000000000000010000100001100000000000000110110100000001000000010000000000000111111111111111110000110000110100010011000000000010000000000100000000001000000000010000010000001000100000001000000000000000101100011000000000000000100001000011000000000000001101101000000010000000100000000000001111111111111111100001100000101000100100000000000000000000000000000000000000000000000001100000000000111010110001111100000001011000110000000000000011000010000110000000000000011011010000000100000001100000000000011111111111111111000011 - 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - - - - - - - - - - - - - diff --git a/de0_cv_nes/de0_cv_nes.qsf b/de0_cv_nes/de0_cv_nes.qsf index 1e31a63..97521a7 100644 --- a/de0_cv_nes/de0_cv_nes.qsf +++ b/de0_cv_nes/de0_cv_nes.qsf @@ -121,7 +121,6 @@ set_global_assignment -name SDC_FILE ../de1_nesmos6502-timing.sdc set_global_assignment -name ENABLE_SIGNALTAP ON set_global_assignment -name USE_SIGNALTAP_FILE "de0-cv-analyze-all.stp" set_global_assignment -name SIGNALTAP_FILE "de0-cv-analyze-all.stp" -set_global_assignment -name SLD_FILE "de0-cv-analyze-all_auto_stripped.stp" ##reserved.... ###set_location_assignment PIN_AB15 -to @@ -157,70 +156,6 @@ set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIP set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to dbg_addr[0] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to dbg_addr[10] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to dbg_addr[11] -section_id auto_signaltap_0 @@ -238,194 +173,6 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to dbg_addr[8] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to dbg_addr[9] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to dbg_cpu_clk -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to dbg_d_io[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to dbg_d_io[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to dbg_d_io[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to dbg_d_io[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to dbg_d_io[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to dbg_d_io[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to dbg_d_io[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to dbg_d_io[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to dbg_ea_carry -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to dbg_exec_cycle[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to dbg_exec_cycle[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to dbg_exec_cycle[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to dbg_exec_cycle[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to dbg_exec_cycle[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to dbg_exec_cycle[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to dbg_instruction[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to dbg_instruction[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to dbg_instruction[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to dbg_instruction[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to dbg_instruction[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to dbg_instruction[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to dbg_instruction[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to dbg_instruction[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to dbg_nmi -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to dbg_ppu_addr[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to dbg_ppu_addr[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to dbg_ppu_addr[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to dbg_ppu_addr[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to dbg_ppu_addr[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to dbg_ppu_addr[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to dbg_ppu_addr[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to dbg_ppu_addr[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to dbg_ppu_addr[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to dbg_ppu_addr[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to dbg_ppu_addr[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to dbg_ppu_addr[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to dbg_ppu_addr[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to dbg_ppu_addr[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to dbg_ppu_ce_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to dbg_ppu_clk -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to dbg_ppu_ctrl[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to dbg_ppu_ctrl[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to dbg_ppu_ctrl[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to dbg_ppu_ctrl[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to dbg_ppu_ctrl[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to dbg_ppu_ctrl[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to dbg_ppu_ctrl[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to dbg_ppu_ctrl[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to dbg_ppu_data[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to dbg_ppu_data[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to dbg_ppu_data[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to dbg_ppu_data[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to dbg_ppu_data[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to dbg_ppu_data[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to dbg_ppu_data[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to dbg_ppu_data[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to dbg_ppu_mask[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to dbg_ppu_mask[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to dbg_ppu_mask[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to dbg_ppu_mask[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to dbg_ppu_mask[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to dbg_ppu_mask[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to dbg_ppu_mask[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to dbg_ppu_mask[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to dbg_ppu_scrl_x[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to dbg_ppu_scrl_x[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to dbg_ppu_scrl_x[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to dbg_ppu_scrl_x[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to dbg_ppu_scrl_x[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to dbg_ppu_scrl_x[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to dbg_ppu_scrl_x[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to dbg_ppu_scrl_x[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to dbg_ppu_scrl_y[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to dbg_ppu_scrl_y[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to dbg_ppu_scrl_y[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to dbg_ppu_scrl_y[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to dbg_ppu_scrl_y[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to dbg_ppu_scrl_y[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to dbg_ppu_scrl_y[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to dbg_ppu_scrl_y[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to dbg_ppu_status[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to dbg_ppu_status[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to dbg_ppu_status[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to dbg_ppu_status[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to dbg_ppu_status[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to dbg_ppu_status[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to dbg_ppu_status[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to dbg_ppu_status[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to dbg_r_nw -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to dbg_status[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to dbg_status[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to dbg_status[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to dbg_status[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to dbg_status[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to dbg_status[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to dbg_status[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to dbg_status[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to g[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to g[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to g[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to g[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to h_sync_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to joypad1[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to joypad1[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to joypad1[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to joypad1[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to joypad1[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to joypad1[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to joypad1[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to joypad1[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to joypad2[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to joypad2[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to joypad2[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to joypad2[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to joypad2[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to joypad2[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to joypad2[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to joypad2[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to r[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to r[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to r[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[206] -to r[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[207] -to rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[208] -to v_sync_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to dbg_addr[0] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to dbg_addr[10] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to dbg_addr[11] -section_id auto_signaltap_0 @@ -443,134 +190,435 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -t set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to dbg_addr[8] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to dbg_addr[9] -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to dbg_cpu_clk -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to dbg_d_io[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to dbg_d_io[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to dbg_d_io[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to dbg_d_io[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to dbg_d_io[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to dbg_d_io[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to dbg_d_io[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to dbg_d_io[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to dbg_ea_carry -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to dbg_exec_cycle[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to dbg_exec_cycle[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to dbg_exec_cycle[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to dbg_exec_cycle[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to dbg_exec_cycle[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to dbg_exec_cycle[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to dbg_instruction[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to dbg_instruction[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to dbg_instruction[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to dbg_instruction[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to dbg_instruction[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to dbg_instruction[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to dbg_instruction[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to dbg_instruction[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to dbg_nmi -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to dbg_ppu_addr[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to dbg_ppu_addr[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to dbg_ppu_addr[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to dbg_ppu_addr[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to dbg_ppu_addr[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to dbg_ppu_addr[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to dbg_ppu_addr[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to dbg_ppu_addr[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to dbg_ppu_addr[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to dbg_ppu_addr[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to dbg_ppu_addr[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to dbg_ppu_addr[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to dbg_ppu_addr[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to dbg_ppu_addr[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to dbg_ppu_ce_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to dbg_ppu_clk -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to dbg_ppu_ctrl[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to dbg_ppu_ctrl[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to dbg_ppu_ctrl[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to dbg_ppu_ctrl[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to dbg_ppu_ctrl[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to dbg_ppu_ctrl[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to dbg_ppu_ctrl[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to dbg_ppu_ctrl[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to dbg_ppu_data[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to dbg_ppu_data[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to dbg_ppu_data[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to dbg_ppu_data[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to dbg_ppu_data[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to dbg_ppu_data[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to dbg_ppu_data[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to dbg_ppu_data[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to dbg_ppu_mask[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to dbg_ppu_mask[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to dbg_ppu_mask[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to dbg_ppu_mask[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to dbg_ppu_mask[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to dbg_ppu_mask[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to dbg_ppu_mask[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to dbg_ppu_mask[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to dbg_ppu_scrl_x[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to dbg_ppu_scrl_x[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to dbg_ppu_scrl_x[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to dbg_ppu_scrl_x[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to dbg_ppu_scrl_x[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to dbg_ppu_scrl_x[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to dbg_ppu_scrl_x[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to dbg_ppu_scrl_x[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to dbg_ppu_scrl_y[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to dbg_ppu_scrl_y[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to dbg_ppu_scrl_y[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to dbg_ppu_scrl_y[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to dbg_ppu_scrl_y[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to dbg_ppu_scrl_y[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to dbg_ppu_scrl_y[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to dbg_ppu_scrl_y[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to dbg_ppu_status[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to dbg_ppu_status[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to dbg_ppu_status[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to dbg_ppu_status[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to dbg_ppu_status[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to dbg_ppu_status[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to dbg_ppu_status[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to dbg_ppu_status[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to dbg_r_nw -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to dbg_status[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to dbg_status[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to dbg_status[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to dbg_status[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to dbg_status[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to dbg_status[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to dbg_status[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to dbg_status[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to g[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to g[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to g[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to g[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to h_sync_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to joypad1[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to joypad1[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to joypad1[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to joypad1[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to joypad1[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to joypad1[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to joypad1[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to joypad1[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to joypad2[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to joypad2[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to joypad2[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to joypad2[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to joypad2[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to joypad2[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to joypad2[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to joypad2[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to r[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to r[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to r[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to r[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to v_sync_n -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=209" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=209" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=654" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=38384" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=17523" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to clock_counter[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to clock_counter[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to clock_counter[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to clock_counter[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to clock_counter[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to clock_counter[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to clock_counter[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to clock_counter[16] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to clock_counter[17] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to clock_counter[18] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to clock_counter[19] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to clock_counter[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to clock_counter[20] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to clock_counter[21] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to clock_counter[22] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to clock_counter[23] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to clock_counter[24] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to clock_counter[25] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to clock_counter[26] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to clock_counter[27] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to clock_counter[28] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to clock_counter[29] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to clock_counter[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to clock_counter[30] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to clock_counter[31] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to clock_counter[32] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to clock_counter[33] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to clock_counter[34] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to clock_counter[35] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to clock_counter[36] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to clock_counter[37] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to clock_counter[38] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to clock_counter[39] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to clock_counter[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to clock_counter[40] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to clock_counter[41] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to clock_counter[42] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to clock_counter[43] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to clock_counter[44] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to clock_counter[45] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to clock_counter[46] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to clock_counter[47] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to clock_counter[48] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to clock_counter[49] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to clock_counter[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to clock_counter[50] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to clock_counter[51] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to clock_counter[52] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to clock_counter[53] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to clock_counter[54] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to clock_counter[55] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to clock_counter[56] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to clock_counter[57] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to clock_counter[58] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to clock_counter[59] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to clock_counter[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to clock_counter[60] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to clock_counter[61] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to clock_counter[62] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to clock_counter[63] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to clock_counter[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to clock_counter[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to clock_counter[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to clock_counter[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to dbg_cpu_mem_clk -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to dbg_d_io[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to dbg_d_io[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to dbg_d_io[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to dbg_d_io[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to dbg_d_io[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to dbg_d_io[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to dbg_d_io[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to dbg_d_io[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to dbg_ea_carry -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to dbg_emu_ppu_clk -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to dbg_exec_cycle[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to dbg_exec_cycle[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to dbg_exec_cycle[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to dbg_exec_cycle[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to dbg_exec_cycle[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to dbg_exec_cycle[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to dbg_instruction[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to dbg_instruction[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to dbg_instruction[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to dbg_instruction[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to dbg_instruction[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to dbg_instruction[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to dbg_instruction[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to dbg_instruction[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to dbg_nmi -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to dbg_ppu_addr[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to dbg_ppu_addr[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to dbg_ppu_addr[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to dbg_ppu_addr[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to dbg_ppu_addr[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to dbg_ppu_addr[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to dbg_ppu_addr[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to dbg_ppu_addr[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to dbg_ppu_addr[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to dbg_ppu_addr[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to dbg_ppu_addr[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to dbg_ppu_addr[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to dbg_ppu_addr[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to dbg_ppu_addr[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to dbg_ppu_ce_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to dbg_ppu_clk -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to dbg_ppu_ctrl[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to dbg_ppu_ctrl[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to dbg_ppu_ctrl[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to dbg_ppu_ctrl[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to dbg_ppu_ctrl[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to dbg_ppu_ctrl[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to dbg_ppu_ctrl[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to dbg_ppu_ctrl[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to dbg_ppu_data[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to dbg_ppu_data[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to dbg_ppu_data[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to dbg_ppu_data[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to dbg_ppu_data[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to dbg_ppu_data[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to dbg_ppu_data[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to dbg_ppu_data[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to dbg_ppu_mask[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to dbg_ppu_mask[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to dbg_ppu_mask[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to dbg_ppu_mask[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to dbg_ppu_mask[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to dbg_ppu_mask[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to dbg_ppu_mask[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to dbg_ppu_mask[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to dbg_ppu_scrl_x[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to dbg_ppu_scrl_x[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to dbg_ppu_scrl_x[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to dbg_ppu_scrl_x[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to dbg_ppu_scrl_x[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to dbg_ppu_scrl_x[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to dbg_ppu_scrl_x[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to dbg_ppu_scrl_x[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to dbg_ppu_scrl_y[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to dbg_ppu_scrl_y[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to dbg_ppu_scrl_y[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to dbg_ppu_scrl_y[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to dbg_ppu_scrl_y[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to dbg_ppu_scrl_y[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to dbg_ppu_scrl_y[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to dbg_ppu_scrl_y[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to dbg_ppu_status[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to dbg_ppu_status[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to dbg_ppu_status[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to dbg_ppu_status[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to dbg_ppu_status[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to dbg_ppu_status[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to dbg_ppu_status[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to dbg_ppu_status[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to dbg_r_nw -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to dbg_status[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to dbg_status[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to dbg_status[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to dbg_status[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to dbg_status[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to dbg_status[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to dbg_status[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to dbg_status[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to dbg_v_addr[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to dbg_v_addr[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to dbg_v_addr[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to dbg_v_addr[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to dbg_v_addr[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to dbg_v_addr[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to dbg_v_addr[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to dbg_v_addr[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to dbg_v_addr[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to dbg_v_addr[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to dbg_v_addr[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to dbg_v_addr[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to dbg_v_addr[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to dbg_v_addr[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to dbg_v_data[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to dbg_v_data[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to dbg_v_data[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to dbg_v_data[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to dbg_v_data[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to dbg_v_data[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to dbg_v_data[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to dbg_v_data[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[206] -to g[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[207] -to g[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[208] -to g[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[209] -to g[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[210] -to h_sync_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[211] -to joypad1[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[212] -to joypad1[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[213] -to joypad1[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[214] -to joypad1[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[215] -to joypad1[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[216] -to joypad1[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[217] -to joypad1[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[218] -to joypad1[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[219] -to joypad2[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[220] -to joypad2[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[221] -to joypad2[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[222] -to joypad2[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[223] -to joypad2[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[224] -to joypad2[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[225] -to joypad2[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[226] -to joypad2[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[227] -to r[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[228] -to r[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[229] -to r[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[230] -to r[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[231] -to rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[232] -to v_sync_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to clock_counter[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to clock_counter[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to clock_counter[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to clock_counter[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to clock_counter[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to clock_counter[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to clock_counter[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to clock_counter[16] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to clock_counter[17] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to clock_counter[18] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to clock_counter[19] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to clock_counter[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to clock_counter[20] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to clock_counter[21] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to clock_counter[22] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to clock_counter[23] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to clock_counter[24] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to clock_counter[25] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to clock_counter[26] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to clock_counter[27] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to clock_counter[28] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to clock_counter[29] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to clock_counter[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to clock_counter[30] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to clock_counter[31] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to clock_counter[32] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to clock_counter[33] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to clock_counter[34] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to clock_counter[35] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to clock_counter[36] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to clock_counter[37] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to clock_counter[38] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to clock_counter[39] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to clock_counter[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to clock_counter[40] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to clock_counter[41] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to clock_counter[42] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to clock_counter[43] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to clock_counter[44] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to clock_counter[45] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to clock_counter[46] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to clock_counter[47] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to clock_counter[48] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to clock_counter[49] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to clock_counter[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to clock_counter[50] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to clock_counter[51] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to clock_counter[52] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to clock_counter[53] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to clock_counter[54] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to clock_counter[55] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to clock_counter[56] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to clock_counter[57] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to clock_counter[58] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to clock_counter[59] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to clock_counter[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to clock_counter[60] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to clock_counter[61] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to clock_counter[62] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to clock_counter[63] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to clock_counter[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to clock_counter[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to clock_counter[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to clock_counter[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to dbg_cpu_mem_clk -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to dbg_d_io[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to dbg_d_io[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to dbg_d_io[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to dbg_d_io[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to dbg_d_io[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to dbg_d_io[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to dbg_d_io[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to dbg_d_io[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to dbg_ea_carry -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to dbg_emu_ppu_clk -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to dbg_exec_cycle[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to dbg_exec_cycle[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to dbg_exec_cycle[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to dbg_exec_cycle[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to dbg_exec_cycle[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to dbg_exec_cycle[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to dbg_instruction[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to dbg_instruction[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to dbg_instruction[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to dbg_instruction[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to dbg_instruction[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to dbg_instruction[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to dbg_instruction[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to dbg_instruction[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to dbg_nmi -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to dbg_ppu_addr[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to dbg_ppu_addr[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to dbg_ppu_addr[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to dbg_ppu_addr[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to dbg_ppu_addr[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to dbg_ppu_addr[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to dbg_ppu_addr[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to dbg_ppu_addr[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to dbg_ppu_addr[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to dbg_ppu_addr[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to dbg_ppu_addr[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to dbg_ppu_addr[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to dbg_ppu_addr[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to dbg_ppu_addr[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to dbg_ppu_ce_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to dbg_ppu_clk -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to dbg_ppu_ctrl[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to dbg_ppu_ctrl[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to dbg_ppu_ctrl[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to dbg_ppu_ctrl[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to dbg_ppu_ctrl[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to dbg_ppu_ctrl[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to dbg_ppu_ctrl[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to dbg_ppu_ctrl[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to dbg_ppu_data[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to dbg_ppu_data[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to dbg_ppu_data[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to dbg_ppu_data[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to dbg_ppu_data[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to dbg_ppu_data[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to dbg_ppu_data[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to dbg_ppu_data[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to dbg_ppu_mask[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to dbg_ppu_mask[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to dbg_ppu_mask[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to dbg_ppu_mask[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to dbg_ppu_mask[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to dbg_ppu_mask[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to dbg_ppu_mask[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to dbg_ppu_mask[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to dbg_ppu_scrl_x[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to dbg_ppu_scrl_x[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to dbg_ppu_scrl_x[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to dbg_ppu_scrl_x[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to dbg_ppu_scrl_x[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to dbg_ppu_scrl_x[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to dbg_ppu_scrl_x[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to dbg_ppu_scrl_x[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to dbg_ppu_scrl_y[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to dbg_ppu_scrl_y[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to dbg_ppu_scrl_y[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to dbg_ppu_scrl_y[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to dbg_ppu_scrl_y[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to dbg_ppu_scrl_y[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to dbg_ppu_scrl_y[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to dbg_ppu_scrl_y[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to dbg_ppu_status[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to dbg_ppu_status[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to dbg_ppu_status[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to dbg_ppu_status[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to dbg_ppu_status[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to dbg_ppu_status[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to dbg_ppu_status[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to dbg_ppu_status[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to dbg_r_nw -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to dbg_status[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to dbg_status[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to dbg_status[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to dbg_status[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to dbg_status[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to dbg_status[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to dbg_status[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to dbg_status[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to dbg_v_addr[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to dbg_v_addr[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to dbg_v_addr[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to dbg_v_addr[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to dbg_v_addr[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to dbg_v_addr[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to dbg_v_addr[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to dbg_v_addr[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to dbg_v_addr[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to dbg_v_addr[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to dbg_v_addr[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to dbg_v_addr[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to dbg_v_addr[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to dbg_v_addr[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to dbg_v_data[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to dbg_v_data[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to dbg_v_data[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to dbg_v_data[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to dbg_v_data[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to dbg_v_data[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to dbg_v_data[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to dbg_v_data[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to g[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to g[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to g[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to g[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to h_sync_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to joypad1[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to joypad1[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to joypad1[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to joypad1[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to joypad1[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[216] -to joypad1[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[217] -to joypad1[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[218] -to joypad1[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[219] -to joypad2[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[220] -to joypad2[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[221] -to joypad2[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[222] -to joypad2[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[223] -to joypad2[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[224] -to joypad2[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[225] -to joypad2[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[226] -to joypad2[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[227] -to r[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[228] -to r[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[229] -to r[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[230] -to r[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[231] -to rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[232] -to v_sync_n -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=233" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=233" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=726" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=20297" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=7548" -section_id auto_signaltap_0 +set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-analyze-all_auto_stripped.stp" set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/de0_cv_nes/de0_cv_nes.vhd b/de0_cv_nes/de0_cv_nes.vhd index a739df8..95f6bf4 100644 --- a/de0_cv_nes/de0_cv_nes.vhd +++ b/de0_cv_nes/de0_cv_nes.vhd @@ -324,11 +324,11 @@ begin --mos 6502 cpu instance cpu_inst : mos6502 generic map (data_size, addr_size) port map ( - dbg_instruction_dummy, - dbg_int_d_bus_dummy, - dbg_exec_cycle_dummy, + dbg_instruction, + dbg_int_d_bus, + dbg_exec_cycle, dbg_ea_carry, - dbg_status_dummy, + dbg_status, dbg_pcl, dbg_pch, dbg_sp_dummy, dbg_x_dummy, dbg_y, dbg_acc, dbg_dec_oe_n, dbg_dec_val, @@ -425,8 +425,8 @@ begin ------------------debug pin setting....-------------------- ----------------------------------------------------------- ----------------------------------------------------------- --- clock_counter_inst : counter_register generic map (64) port map --- (cpu_clk, rst_n, '0', '1', (others=>'0'), clock_counter); + clock_counter_inst : counter_register generic map (64) port map + (cpu_clk, rst_n, '0', '1', (others=>'0'), clock_counter); -- led_test : counter_register generic map (24) port map -- (base_clk, rst_n, '0', '1', (others=>'0'), loop24); @@ -436,21 +436,21 @@ begin --ppu debug.... - dbg_exec_cycle(0) <= dbg_nes_x(8); - dbg_instruction <= dbg_nes_x(7 downto 0); - dbg_exec_cycle(4) <= dbg_nes_y(8); - dbg_status <= dbg_nes_y(7 downto 0); - - dbg_disp_ptn_l (7 downto 0) <= dbg_p_oam_addr; - dbg_disp_ptn_l (15 downto 8) <= dbg_p_oam_data; - dbg_int_d_bus(4 downto 0) <= dbg_s_oam_addr(4 downto 0); - --dbg_ppu_scrl_y <= dbg_s_oam_data; - dbg_ppu_scrl_y <= dbg_ppu_scrl_y_dummy; - - dbg_ppu_scrl_x(0) <= ale_n; - dbg_ppu_scrl_x(1) <= rd_n; - dbg_ppu_scrl_x(2) <= wr_n; - dbg_ppu_scrl_x(3) <= nt0_ce_n; +-- dbg_exec_cycle(0) <= dbg_nes_x(8); +-- dbg_instruction <= dbg_nes_x(7 downto 0); +-- dbg_exec_cycle(4) <= dbg_nes_y(8); +-- dbg_status <= dbg_nes_y(7 downto 0); +-- +-- dbg_disp_ptn_l (7 downto 0) <= dbg_p_oam_addr; +-- dbg_disp_ptn_l (15 downto 8) <= dbg_p_oam_data; +-- dbg_int_d_bus(4 downto 0) <= dbg_s_oam_addr(4 downto 0); +-- --dbg_ppu_scrl_y <= dbg_s_oam_data; +-- dbg_ppu_scrl_y <= dbg_ppu_scrl_y_dummy; +-- +-- dbg_ppu_scrl_x(0) <= ale_n; +-- dbg_ppu_scrl_x(1) <= rd_n; +-- dbg_ppu_scrl_x(2) <= wr_n; +-- dbg_ppu_scrl_x(3) <= nt0_ce_n; --nmi_n <= dummy_nmi; --------------- -- 2.11.0