From 716ca10b5c99116ca930f0794162115f8222dd6b Mon Sep 17 00:00:00 2001 From: "K.Ohta" Date: Fri, 6 Sep 2013 19:43:01 +0900 Subject: [PATCH] [v1.0][Schematic] Fix typo. --- gSCH/OpenI2CRadio-v1.00-p6.png | Bin 51876 -> 76247 bytes gSCH/OpenI2CRadio-v1.00-p6.sch | 6 +++--- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/gSCH/OpenI2CRadio-v1.00-p6.png b/gSCH/OpenI2CRadio-v1.00-p6.png index c3b5e37c2d7f0a6d7f1e5e19488bc416cc748f46..22b20775cebb40118fdbe014bcc4d8ad5b732684 100644 GIT binary patch literal 76247 zcmeFYbx>W+wmpgyJOuY(!3hpQ6MO@~W#bkgI0^0!!NbNOKp?no9D=(`fZ&=S!QJgG z;5+Ah=iGZ=y1Dy>k&?Hn_oj+k`fkC-rq^(;Fb0GM^uK@q zYk~i@!2jPYKvqgKiP7V0mhQ99&Mjj<5A(Q) z8OY$7AMaMV|M%|y*arMVKc4pagBV$nz>GW@@Ak&)QQc3da6^-v@{3lQuBIB2B1n?h z{TCXkSI2V7Y{<4I?YqfT)f^9T?&n9fwh1AsJzo(r#*ictM)-=O$!heK=7& zG6i&4_;^pjnEGsigtd8>f5AH)7XHs-dK^;{2Q3{&0`Sq;Gq=_lHk7m;M_C9F*Qb8g zZ#gfNl~vmDG}zuNo-cC_?-$#479ILi?60L%%{=O%HMmB6#?C+jbm^r(|(1OZJ{3hIq@<40;%~+nHgBTntPY zaaqr=1O|fIAuRkTy8+GJ`06d?@8W1deUV$sOm?i>1CFvB7uWV_u0b*KaC{f<)`nIq zhK(2nZ@9Y2kVgs$q)1!SZxQx$MLu+3A8(@oj&X22Ms!+k`SdJG#B_*sqWJfWrNC{S zbmz-NB@?^tDeR^b+l0|kX%4*N64tdE&T8whBCtCCoiHXkNf-B|gMln9DF23}D99V> zE0R_h)iqC%FSU_neB0hlnH^{o=9^@hvDA6OZ^?*0M@!TmLKiHz)$c?flot~}ZsrRf zKW+II6=X{nemi`#zbtq`)6#q=z`IDw(0pO)b#3fJm%eiTdu(?)?BhD;#1qsghYyw( znNm@Skj699n@bw*{=TX2+=q4-hds9S>KYZ++H$MHp0#&geTTWSP#V0(F#|lVN?}3r zi587#1vl73>@AQi%n^uQl^o~}wunaFw`xCIhnHGu6Q-~r^ki#eI_7OkUU5WhODusm z2M%=@BU6%a>Y8bCav~qQX)(Ew%QicYwNXF*+TiaV>h@J8b#c}emKd6RdkJK4yMa)V zpBM~0Gh%3NRryZC5tXq)sf6tFYBxq0L#8 zb)8s3wPIeZb1-L_kl`9{=t>x-72Qodlid?_Om!}-+NQ7+C?}ilZ!5(jgn1j^7+`%$ELCBGh7kA zni>~xuC^=$Zn+=&{e%}8^aScNW@xhYT(kb1UH2a!F$-Fg`qxK(y&BD!GEdNYAGBj9 zQ(pBeegQ!Q&H#OGX5+0*dbr^Td-wi+do)CfVwGf(z5%AtQe&c6wkDniQIx@hK_#{0 z4S6=zN{zy5==uUVN%Fo43tdd8#z6 zMZRhn4M@}J_M%}QE`@h&yrW3dwEiNjvLQ z!0?33fZ;jKJ2u=2!y}C}i!f<$n8*YjvKyZ-!JkeC-`<#8tt_}xr^r-DLt@`@nzDVQ z(a-}eHgR#WnPGZgpy^)`zZQeHUsqOsmgEFF;qjU_0RVmE&GFuFSHzry3T9d~Fv7~& zG|$s%9o~$wz|1>Z-Em@){Ct$*$)9DWZ-WkB*%J!#2`)B^2skTiCA&t`Fjx)=#ss3} z=Y3)ztrzK^%{f{_xhv()P#2ag9-&5AN^~r{N)&aDQmgc6sfF@cL-9!F@ksKgUZGl96?x!oi zquP(sy-=a{W@{3^yAC33?MB`Nh{~le5LqYC1d@F~I9l%}uvr|MwT0*6vg;z^;x2Py ziJ|KjS2bVg(stMz3P52Hu)BAI3)&}2CT#8o^Pap?6sZ}4m;G`e(7>POe4xu7SF_6( zu<+ofhIV3RkW8hgfcs9|$BoOAaPqeatmETsHl#Q&#PPFTgOSNy129OyO@ebVvWy6C zuK98smh$OV8~-v23=+6K=))j)Qh$wgSh$s%dY4(ci5@Phk_JKA-e{opEPv%ZBnxag zQF-EQu(b*P&UMH(DBuw`s%+C3m!QAxost@Esj;AoI;F!S!p`=X5?ufS+UI?cO@Gd+q1ap7B3 zxf^$d8K((Ch zSl4-V33NZl^(;?3cl_+pmP7FZMA=m?5C4N(01K>&9@psFMfmblm1W&dbXcs>_zg#}*Bi_UAijMzoL z!w>SV-6{%w?r%PEBfNPvs^`QnXVCT<2+}mJpJWctTo9;}Tv-?Hd|VN^)<(hCGeZ6)`zg^^c35Rtp1N5d(>D_7>Pl zit-Gm%Zr2qnd@MOeBfu*>jZQVc%5y+Xc&Y5g!P9&bZTA$!?#ue;KA*9X{UxrfTtnv zKDIPwtR&qSChNj5F^VPB1kF_pRW?5n!*{}>fe5Jb5&tamtB0fdiR^+)%urT zWY|^26K&W@1!`>;=O1~rX!0Zcuq`_(kndHCEF(?_dSr@$JudTEr;O+e-~{4`>WYvY56Zhg`3!HBAF*Sj7)2kaD0Lx<7B z>x*S`iLPctqCZw7!x!GD+_cR6kSfb0!KA~9^op79agC>_!wGTNsGU*Il`4gxKB!98J za-bQ$QWPe4H?Q_zC#Tf?3bk{cECIr$w7YA2`rQu3A*WiT5(V95W-$Cw1<6;D`XsA}x%n}$P~EEP3nM@ME|;5SYhPCEto2iYI=?mvyDH-8lB0fD4)i#u z1eo`F>iC3dg|Bk(zP+q_Y}~=GFj7a=H4E*Up!I~8xdn`Z`nrSF)(3H6f-P|JzMZ`` zL$9#){B?O%@o%=C<3$>D5Fa!IDgurO*_}^fqwG}(p^c9s>E>-}eS?gR%I@jLGfU54 z))n#lV%>Ekn0Fd$98JmB(D`l7^u>2;b9%YI|PVd0XbJrk%e6_~wL@6>7J z68}ypVs-nL9n0~6ZYt08U_$ou^lvnH6;kAe?MU%2*FTGK#0LKhgauOuWBCKhT5U+! ze0Uc=Ipl`g|3?s0dp#;!x5-#gkXP`lpb!jw?$!Bg&lk~yEdGszYA^e~aI91u!m{IM zRB<*V48&Dw=fl-ixpRb^_Q|ir1%^-Lg5TOnvTj!X+-+bEyd{CWQ>OEk3olu zpT2+J_efv`?V>;q)683KQiM_z>3hdB%OM+M<~BL`At5-_mM4E&jibuUakQ)1Y{{j_ ziJGThBY<0C_J8LES1duMP+?ukvP7STgT(+?NOg66g_gXu|1PWv4*0Iq)^-q2Gc)2f z@ZIyDKMyu?iTcDSEiBrO@bCZ~N<0U#uY}rwMHPPE1dI_^h9^jy-2iy%LrYGs)5yX> z?aCU22o&vWRzIgJwa=!jc1#$y*Xco>=8sT(w%r?wci(2@s~3WI3U?iwKQ%4$$Cc#J zVjZzshGA=wOXR?t3=FFgz{I2WMekPj_d9<6Ksu*ExjYm(RB$A4u9m}Z*Uu6yyizj< z$#*gomI5)_#L(IIvy4vwT2m}T^sKjXT(D@vIhFo7oSK@BD=n6AVquW%j;YqyB7vvL z%TK^q^EmNtGBU2zgk?c~AF~8@4$Bdm;`4`)pS7@`bErLawQrblG)@Hhh$AdfQSdV7p=*S>At6j7=I z%Lr>jCjI!yDXxDgkubxjrA=u6t_pTC3*C9>x%dvIm|GZ4FgIQP?8zKee(~05kQfMV zXSf)dVrpnE^?WkB-6^vM=P8yD>n0$gSaw@Vk@EkvcuzH(NS2lAE}< z8mgg&Sj>3B3cpUJDGVeE3x5~a{F&vww%Z1q4jPR-FDUr4kor6FcXk5bPNnpXwvFK& z-~Ey>YD2K8XDNT*g$@MN`gd_NT5cys+h87>70Y5VWnjN#sZmrBJKNQ9XQ^3Ct8)#Z zrIv&`TK1tA3hlX=s~?QV9Hx~?D!OFBGp*DR;c+V1f*3iP%4(!$;fnx_xZKV=Pun){djR41)aN=^ z5Q(4>!fXv4gMyj}JUny$XCh04Kq-DGI#hLBun|~?=Uofr*67e6%+h52&!`fZ9*`_JUvZQy;6cEuj{@Xqg4P6J3oL>yb6kWxY zvU%{~&~bPRXFT=3BDva2_ju{NIQT;Z-@Np#X`95rTjd zOl++93y?B9nyD(ozHC@%%6biMCyZ=oOH7r}=y412J+yx zcOLkx?~Y7`Z(=9)&BpT*BZ|P$A3H~tSsU*kY^kdk8+C;z{#dMcFx*s4PSLCi1;x<6 z9G+Y2khED$<7kx85;=Oln-7@sVi-(KFF4w|S_SSLIMNO^^2s(@>MfXKYk?fLTj=_2I?`jBL|mdR!6 zTFS{{8C#_A0H%zWTrH&MXdW0o{a=YO{cfSyHWsr;Qg4QBH!4hp zBUuJdVWs)%SH<7q$}Gw54=W;hzh|-asVnRD<6#EK<|eq6bVqKf8KgER*qlv|$p6WG zv|8-MD96b4V$l(Y_35zbP{INq;5TR;0unV~>!oXtB} z%=f9KL0uCU&Y8)R1U%J4QGxjkr-`OY-Tmt^YMldb=CZE=0T##^0H1W-wm&a&yiI_T zPqbl_o#6#7p}XMRQZyjawO}plPNB>iB8<54E^1974Yy~&jEfnMvpBxSDDu0ktPgXd zoP(J)M%(jKf+0mH)Iyu}qFzx^gzv5_K9u8Q+FaJ$7Z*(}l{7KaHoTj-@Pd{&dU=ks z1i&vx;MU7lNMB@?L(Ip(HIXMhJKDJ3!nS=WA=%* z(}EZPmw?c$rhohcFV)IzhXo_@erLo1W*ae~bD|l2$cKC%*#&(lSj8aC#Ch@`wE!>q zkjW7YNFDtBztRa}HX|5N3&MW;R&CFIjlyF(GtVm3avjjNv+raDa!!N_cqVkUzqRgf z(DR|zuE-_kBff18;J<2*-hW{gFl$&kamWpI-nxFHRB!3S`4wfNZDqFZTCVa>n}ygk1P*mHTyDFTf0&9$8n|2kZK<(vKT-g{T@G2X{C5@`I&Vj1S6CWvUAeT{i z$AE5?dPfJI;a^1bLL*tW=Hu?v+sxdo@l@*WS&ymutg2B#Iel(9mh<7mF9p3e2(8CK zSadKlX^X&~;^;cGs|mV!^kM~*HOeM=bU zPWPRA@g=@Uu&iE2*UBQkp!LU5cN;uPUxL@g=*amTJ_IBo5?HU>Sv+8n}`*?&STIC9&sR_%+Y?VN`MrW_j~oIS4(=gDdk&~ymFJ5&^M{EY&0O^C+QBDAQ82+ z)chVBis}=J8qf00{cO96hl23GLa{O_EdB@uv9|3u5)2J7jN<^5eTPlJqNEr#Z&*}Y z6%0MCQukU-YiSB-26ul6BG?BSD2RA5Byr8iT#RZvXwAeMddYdA*jekMa8VX7kf zCmvW_=~@>a<@iB=kq3@RW+r3Hag2;$^1cRFn4>jzJA+Wi(1d@wgf5NfbaIwZTvg1^ z-h8&4`JY2K4uAAlY-(QVqGjvo9Fmdse+gftW77~31#dk6;Z*7{!0qnEs50sVGZr~mR8NO?buY#%?MUBM4itp?qX(hum_p*Je9^8kO0 zq)7LB5G3m~tlp3l_t!ypdzjmajF*6!3GKgW#0=>?5sq8n<}`s_fZ+7*(jY!;#}JzQ z8yOiYl_>It8y@5CAOdbKHfI~TKz!q_{Z{1d3ap!}v8kTMv!MRc`pC0IvlbXYj98V) zk?U<8i_Y@E9!Qa(U5zw00BNohK8&s}LWmT8Ye=AO1=7=AW}L*&4XXN^$Qu+qU~83? z^Fl{YqdS?~eH1_cJ)p$X4?DtVo%YQHe}@dH-H!`{tgjWB)6F64-O_74Zz0g27?#O& z`M!reXLfdM{Ncs=cC&ZZP$Zed#%R)DoT?%KtB8f~&T^efA0(~f65YBRC)TFKKdd?! z7+*dh{UgI(bNcnqbWD^8=CJoAL(5R=0g2$X9X{*!wz@1M;a`>%I_cLxY=Lh$l9w|S zgO3vKyS=hs^5x>S@5Ea^EYY1VqZYioSceI5X4P}Rj(Oo|5kt$+jCf?KA`0aA*s^`Ygo7p9KI?pSpuRrX*7r36l40x?_6VnvjKX@}F zJd~E92asy--`n9bfLTxNe(4qMvEg}k5R`5Wp32<&dz%bBsHsIqR$2a@)nsMH z{OZ>Tx=-5lX*^CNaA*17-L2Ny_yF(EFm4b6B@2MJgg%IEz?<$gvCR65YJ{kr3Q;2D z`A%&p1F8M-EL^;RE{IM_SP>1Z*0;v<{1c_?kpg)Ip_*?0k#C8X$j+8a?nvggn(3%C zS5<#u+grl0G5U|dx{3j)Mi>^pvMNdWN_wD`IoC6kw0^;|8aH$qgHD^Gnk87>7p1Mg=>rryMAj^1+Ai+jFCy-+H~v6w+}$}+ATmMkSLGPhiEUlrhu;tRaul3eKr+rG z;!Na?^1jiqumm_@nelXObg3sxgTu9pO;uu&G)f z-d`9`KzNTWxUZ4GGxp@#jyYL-FNkuvW-aY~9M@BIK7v*Z?Kw|Q*zt&o!Bvch(6Z>{ zbAPFDgR4`T)%;CaO@oKn zrv=qDQ0abpeaBpZ^-}cwV5)#Ko4?r$xwI{Ieo=20t|&vyb@!D_X3(ysh0*b!>fP+` z{1CChB%Cp&LJ0}PU{c7t&dbYFWbh7s0)NV*DgfZ*DsA(>{yX`&u zcs5V!>EPmZr#0BQ31X}uhBus_m4A5#)_ z?zgD5>RGD!qD%}D{IM{LL1MQv8EY{a<>weSt~7y zfVCYEWZBKUn!@ocWVPQ#s;u-X^C0Tl1K%S!MU~LH)=){ zOp76LOpgScS!9dpVM5s5c|^)+Z+oiNx zygL#Z|JjL~=EV)!C;l~tISkiX`xu2rU;ZhX?_ShHW_gX!q+WMuV54XEhkmBw2k!J1Z!IX<* z6+Q1%rEf+&Hc(Sr}$I8HiVr@XDAmTKV^ak>c{}NRNGk7&eVVG=YnuJW;;wqZ} z#})X?alIxqBJUvr$iPP~QL3BGIC{mk8S&9-rap7QfM77tGSmP>h^A{BK<>~VRZPvd z+=UuPy>x>6Fo60CIQrTkkcMc!z=*3q64QOP7)J9(wYgxg72kzQGxh1*6AhD6B}gm) zdVnN>#5>orHps;V-D|qO*<;olSiBp$y*kQ%31n?~7r@f)(OI8R&+&9pzqj4Jrr>+w z4rHVx5GqPj_ifNt!+{+M(RTiO&wjg_8j#<^nk)`0U2I|+vf1f;G}?t1x`JteJ<33z zq&7{JE}*=)-$&vmiptMKnwf+*XR2CGsX&H5_w5ru=g&|qG3~EfRZ2rf0Uj{(9G82? zM{Pg->5Xg)wSl{8s&~tWvO@hYRw;#e4nffwHIGCN@JU~RW*A(&&pgm zNq9Moks95*tuiwGO#1nYf5`0jP+zamjZcgglO*W%tqm>*x12Q(i(%o7>d`Q4bGTsQ zn-rX4C8BDW=Ba7+R+<-ScVC{&eUQq6H?Qm{)NA(Q;(2l5+47g7V6mkVjf()R@7dT& zdEKR_=P)_dti-TqEw7XuSp&M4^uMW7APUV^-^6c_)^?-IGJ5?Zq@OVvAOu7S&(jQV zZ(@?VvxR#>`Z4pjxUh7&p)l>Nzu+GAv(Ws#!N~JSq4+9G&BkPalQ405mi8AmN8oLmx+IQ^w&yDFpSm87B&QR+Gh0*LKi(`@w` zNVyDkZ7|bFAqWkmQ%cTLGeoz;asuzdWN~t@0L{wHl*H@lG9pF#;=SK+H;5qXAI}Fd z!q~5|x!Achd3!tUW>uav%L6&y^)E3V{e3u^gkSsEp>Qo?MMDla*EY_Z3cltW@w`0B zDmZDSg~o%)G>#Cx6JX13zNT&3_r6QOQJ70z1Hl?UV!&n(Od$bRjvi{P=v%8mWMl6Z zT4SHM938|i7^aa?Wbi|9&e8=_1b#C-k(ToFXMkw505h;1t;WaEp+m>9AHZ4+TxnvF zvT4C%C9RycSy1y1MgQ7pWf>NEe@KA65klLEVp_D!5-@60;NxY7aqT=~k={;MM*DmD zg>-GZzVc`8zt<%;T#8r>U?rgdD1B zG;WL$3GpjiAHUC0IJ@J5;Ev}hZyRD2{ehiX47mFgnkkyk)U8%a+C`_`PY#wCA_x1% z1Ldy&R=betg=(RpgBTl2GaZ?}eUv2hFs8m}A>ot1qHAD48ruD%*{Z6qw@PCj_L_37 zKZpLc-xpHbQd;$ocEA*pu8E}wRG~vdzrd=0-I>BBsxOc*XAp3r$=B5A9?gypo~@Qp zXMP~uTO|4`obb$!2v}tw-wn$*8n)L0oA}RPC2nIm2lIPyU)))nZ+6JJU!%f3Ze!}Z z@XSkKm**KHFoiut28dcJI6`h6vB2KFHA$DRsophF939-BAm!!X@IBdj_80JhcVp!y z2O>A*yq)HYcxE-HMm~5jS9opMpNoPKhue7wCxQlZX8f}J%HLymlar`$yn7OcAGl6(U+(Z%sx!a( zzDgom;z#;noF@O#e&4rCY9)PP~< zerYQ=0petrjR@Y4Hz&Z(sXO>n!@d+EjVqU`momI*vj(b3xI&ONZq9 zMAxs5#44cTpsahUGK57NurYO1_+rt4gs?sOc5aHp>oAOqRy$>uIB zQU(Twe`haCga-N4_uYLcQZX$uY+|_&lu*%<3_yLd|6P3XfBx(~A{qZ7%8(GN2>ECZ zTIZ5fewyVqtMt`mxua*+ez>pzkhR|f+5x}U`}kPTrXzZF(0V$K=9GlMs&&x|5Ck>p zub_yAq4YuH1-i&kdLM%6CX)~yo-Cl<_Zfma2*i{_u@B)>7Th{Z!2QGfFzNI@4=^K? zAtzF)QQm#yT>%AN$bvJ%Vn!6Bw}8-?4+BdyU7pXEK>ywGpSGz$8d?+K1=z^Q8#qWI zNIAp(v1A|Q3rUT_@{;Z)(|3Qf*aU3E&hrw(X^0JBk<0qx!3Wu@B`&)=NiSQq_-KJ* zT_-{U7NeD(^R{Se%}RQ~W-B6O(x(;2Tl#dkMm?Vs+q`aoI;4{{F`zCBBI@}3VP0hW zSmBF|)-5TlR#$*qk5g@+@+VBMlb>eKN$7M4A5tkD`<*u6}ZbAlg+9t?3z zY3@79NSwI8xo8vwxsm$8uc=%ka{Xr+QPR~I%!6_?$m^U_ASAsH7 zEj!8D9GIjSzh$}&p=x1dF}e6yPzY;b0d7n_JWO=hsG20?q8Ajg#LrDd0*d99Y0&tt z?esjkchbaQJgT<7z z(3I4YNzxR3WE}`rSfWlu%ia0G$@)A)F)07J(+|zVI%~wo9@Six1P3a{ZJCP8VjI8$ z7MeDL_gEL0vscJ!=p5v=zgUH7bStw^qPsj;H4z^A&${N!0}{Cu_dc zuf_Qr=5N`2D-!NdGJz+7Q)T{2-#*SK!*L)j`0Lf#NPZcIoygBU91(*7lp#$si9T&~ zilG<RaK#e@NseG zXQWkC#!;)j$iL94)`8vToC!dH)%3j<=JO}F2#XtEbOjN)?c~-%v7=h`ijPG6whXkb z0;3F{UFR7S)0>}V*HumRG8|P})>6H%`@nqmw(qvGUOw6NS@fu0>vO991f*S{&O=O>SbE38S{gB-={vtdwyR4jwD zs6-_J?HEz%jp#W6OcUnIN<-(6zzyx6<&`f7f)A(Kjtln~^^xyli$p2FsZNs;t?baz z-t}s{M5l(>rpp=X(-w=U3@CZf+ION5NF&AecaL!5&Y{$BA{_k%qhI1cmBFuoWe%w* z;84X2xt_}Psp6B(&bGh{&sE0Pf1j9k{i~O)0>wwP`!?GVDArg|i2R3>m%#@pf%&J; zben{L)NnPybu;dkg)_5m-=0^urdjq?>Y?Cjy;3lc%B=lknh$@8ES2~0NdkgUDedkd!=&n@qm zYWX*pfA06o)m!RgF@Jp^Icgk8UhJHjE6*`|WktAJaS_Yo@?K9zfd81J*zhmj~}A8$kKr5G9)t#qS|Y4F0{#+m?6S z;4g~jNZ09=^|LWBXy>*7dx2rP)Oga25|;6zT9l;$(cD|Bp0D9l%LXa0An*PpN^P|D zEQiJr(P9LDIBdM?`^Q5Ad&q&j;>I7?{{~@XS2K6`tTOZs2szpF^W;e2dyWEQiC`6o ztwbBM>_~|D^ZIUk-rWOtwe{>y0W*Lm<@3{Lbr^7~OCi@;d1|OGpK2t~szn7~*Zn8} z%QufGFXf|pic7#|E7B8ZYoRV^VMD>vN|lv9v`@32;OA2zw>}T18No$oL_WKqPT8ic z=-%Gl-t|~4y)izWv0VFZYHH?mR&U>e1aF8ua5!y~S$n4EwEJ#X$EMa-wcXM;P}@rw zs14q&S5@b5t88@y8GSmHH2el5OM{8mEfq6yjj1 z{X75pkv?~OO8t(;-aJ#-`0n48?ruK~*ouPr^ZXszruIl*L>4gzVHkI!3g3MJC&rm%yGRJs&tDww9 z0jb5c1P4x3eiBcBD-zE&c?q+!4jgp*BG5fuVVIaaKQxr8f$LY|VAp3#X8j>4iVq8};iMo#L&6u@IsQbcQ$nJ@35^%JF3t%zB>o^}ljaoNOt7PUcHXMb-81 zy|WcMTj)RC8Az6B_M(wmwLA5L^SV?4;>*DlB5oOH%E~8*U@9sjxw0U&_iw|b9T-|< z9JEd+Dm(?8>Pw{pva;DNaJ!@IH&wHepTJvV-_M`8c(^9##0WPO7>>~ZPi?N|vJiA| z)f`n21$ha9*>}6^P&E24c z0reyUDcwNv@z9HV+tA=^au(p0JAh-Li`~-_-fFcxHR%;(Ha97@Z(?+gIYydDA0$6hm4$pX>;Qy@1^1bymER z0ON@ElaaCywK$~aq$M9j0-?wr2IKKH9}k9Xu#Q~)1|4^Zr#Hgx9fLp@UbcfD!hFu> zKJn_#Y&f~VB|j8IRR;KZibri1?BrmK9A@t3N;Bk z0o8WH#N9(k%|{gQO34f>6>wny-Ib;yc{Lin@kOY=C))Jv1xFEEl=?BoHJ%OZ5;7E1 za1=yxjgBNL#RrSU9e)S=LKNS@$2#iVXU1$e3SjY{i-93J<)4$i)Jy%z08#M^%qg|(D zB$d3VB!E@^Ik9ClVcy@=d}yO_Ghmo-ES^(rKCjmlL)MARv_aG-db@t-Z`;5X4R_KS z8&*$`0Pc@h(%B~5{o!xhw7gkJrr>`1?&@^EhLC55BrpPw85^73bPz0-`!p1=ewGRp z#Z;8`i`D6-y0kf|4HkDqJ938DZ##|i8IxE>zUB{nSWZ_=1S<0`)O*c)giX8r!1mjC zMyhbOy$q2Z*i5)z-LD=Tq@y5?4Njf7@tE|Q6!2j|n7jAP-eg`#M4vGi{dsjHT@k&I zrVaelTgGntI_c`?FZNxCD~olHF??*E??~}~VV=b!=5>sb*p5^&rF*pTF7A(rSBz2n~xnw#GerO8sLIJ+ygmHo*Q|_lWg)JsW$l;=^-k zIhFg-KVIx_VS!FOUjMf*7@;`TPYbcdb(-nlLy$Pl0z=EQdv^t{=)|0Rw0pdalJDK% z@d`0Vy$8RCizes))ff6*;K~Pf{X@tDR4cBYKMsac$%obm1(X`YM^Se8I47^gPHn5(}m=L=ZTNXT+&7E0P@Na?^)FY-eb*?1ZAoVEc)cVIW_ z(WVHm0sGRX2AVCKp7SuX)pP!+A+qr&rRS`Fm`=b6VSrg$epgd3w7GS0Zk*=gH?HdA z2RDrRmV*Px2g`q1I{$$GGRM=srZ*?M%vK*P;6~JdPO@ZO%WI{AakXvsPEoxpni@C^ zX#ks)wEV}Uy+Nbx(r&2!@%!SDaLmzcvN9iqXR22(w=T|_T@OJ%nN$^$-g^g+nWK$ zRf#yYChDFH|Md~+sp}nEZ_au&2vjGE(E^eLvSxX0&TzC&5>;!8p`pJ+xI33*zbo~CBx;hYX88EU?^Qj&AJR_&ot0Ump% z$;)3d+Tv3IQ2MH4332Au|m>cBKm0UY8P zSxNBo>>OoqkD3O%{fi1S_#*vbZCfSX!R2}UReys1!2TD1kX>=n$-16< zg-N=k(M%;o_BAKy$2ar&z~$jBGF}JI6f8np+RR_;O(CDW$5Q|;xzOO z3PayxH@YK_pv0`r(;@z=dBFAm$!WypRSrjUFaTZn&r?LiE^d4K>H5iw<8g*{iBe@{ z()Bqa`RS-51Ele`eml)2GOVbcL9e@8!NX6@Yj0DX(;qqCBe2|~1K}h6eD;c*ee8GC z(TwHL`z|#Z;K=n*?$udL(gtDmG@oPNaBQO%hQQKym@5)f?Bts^(RgM`+D`qWoS}LJ zfVQT_H-2LJCog&=6(OWi2z0NKFh~eR77i*4LXU=>(Ft&&p7j-Lo4{djU*lS1DGQ5h zx#_|wKDfd+VAEzZh4`0ryu3bZjtKFI5`S6t--mvbn1Aoi^vnn@n+&EyGy)_k5xp^W zcV1>y2fu;ZcMi_pft8Hlsmk8FSWosnVlcTD-xWL()RD>6wbels0PlT}to;JT7{NWb z!dhVu@|J|7r+FoRlX~TmsDHSR|OdS z{!VK&EDQAaP;DH_T_kF$RYZ@1)P^*LX8e49`&K;W;;00M zi8X>01(y6e4_Iq`i};4MA5sNDDLj$O+g45IRB&WrBKI)fk!XZbIFgJnyM?@J_k`Ss z=e98TIkkT|MFkA z)oQ|=%Qx+?Z;}_ALEWxZP6D|Kz^N}C3YvIs{m!?xKGw6f>*T|iXLEo^@J7zN=jpan z5IXz&$yxVdsI1=bHl|hBKB05(v+mBPoE$EHC;^S>YX}Yh-=-tX4#3AMHuxd5qssLG z!#0F&yy;vy!-a%#K)514{8O01tbj|m8t0S!MnxaI?ly7*B61eTvw4r>=!#CD4q;iebe?Tnxm`&YLg;}E zt}tbH$g6la#kMwtLDkYXoLe1VZJnQ=p(`*Pa^WHV3oBbR=# z9u06V=55&cWrUcR)My*Az--x#$zc~}TV2#uz3gW(KzD8~4**Dj;4#%M2R)y-t^L5P zg#dt5b~e(^rOV%X^RmfKuS19~7&sG|ES(ALp3l<1w;R^}+_rh|{dwdu8Ut$)$F{uz z!_8AKXe~As>5+-21}$>>BPnTgxA-pazI9lif0(sYm_pY;OtQSZ=iEOHm=VD!!_Gc& zUj}Y(rmSEzH9c-$<>$XCy6KZCX~bW;+?sBf{;Er{C)7M|S%j^qAei&pt=7hgM=A=5 zD_T7FA<%BIA+&Vj9l3qp`Up*BdGBh`PTMU0;AQg=uw**#J>Q(#0V+2SS9LttwQC?T zI5}R4?0c-Z2AyTn$l$@3LGxT}=Ff2Gh{LmCn3gYU72VqR+X5qHp?80z8m`ML=1Opwezr=RXrH{BXVlaeKI{b1n>1q{PmX zqBU4`3r0LVTzt34hb{@jp%HR@GUIDO%8_>SCj{Hw0*KMZ6h zm=lu((j=0K`glxlV$$Udts(~&*auof^|lIA=WijW$S7|g6a zWMxqEpR#6UJr+o+SK2%_Ca$Bex_ zd?KlT{!GsKL@o;KYZ_n{-NR~z4X-C}PaHe}{-4rEABXdCcRns98>CPItnVf5ln*W=FY5p->zzr-nNWp9G|Tt z`9bR7OkC2cl4zj62*cdioGyJIf*JB7IoYE_LI7If&`?4ZnWCP6 z<)ig#eA_qp1D^SD1AMN5B8x7(j*d@M1DF3y&R>UI#9{@-j>n*fS=(#8#=p2ApAe~Q z$H#Z>1YvoZUb*qRe0PR!W?|T+OK;=KFpT0m2}iCPr_dO2X*7(bMWO+KfZ8GwvqA7iy6SH?EPZRyxoSEuNK^9C3r-a!? zFp%xj7Q+g%_^)Q(O>b(OY&AblkuI&usYp(i4h{9?CR{{pO}Vfl<2vCuvE#i8EGbEJ z*T==S+WVxmEz&6(Is>Zp< zl6<-nZg9-Vfs_%wGTi@>-6~%w488Ld-&b;4WDN>_A>-7+m*{-j=lk6p;!@Bf9J3TL zGfDNN4V5i!$P5+w#!F+K+5BcifjH3g?}QAqz`&p+qXzPl473i7pugwpAH83ULPFwn zib$hq8+`nd2*LY@YCEw#CsKGAr^^`Y`=W=xhc!~hl&y&HCUgCXoUJVoEb8j4HlTd- zj+!q_t|FM#wx995JWp0w2#yT6jo7dnzYYyVDQTsU=Le*~2XyayUQ_AHQ{%+t)7eR!iGxP6#apvK zIlFRo;`yF&pZXSE@-Gw)T$|?cao%OC;s{kB3ddJqzVQ6lfxDdc zGov?DJUp7SzbN0a1WL^k4i=u-795ac+d%C_%)_l7%NHje4h5Fbp)WKRqyh5FG z#Jgg#Rjm<4ZVx=kXTSc(x%+xj`i~@F!S9EoOxk;KfuK+Cv;4{jK&FqBPq8!p!#dK{ za!XGMyrOL+ItRL|gYDsfu8X2!lcr_+5`ct+JdG5mhzu&`yW&=PDfQ~1W?Zo5G6nRG zO$~ujz4fy>q0W7(Y=nX@!&D4aXKfXH-BI2E$X+}A#m?5@N&fI*G87SoU zvx#z2tbl~lu6#U*v{ryE$ol)U&gf_$>YVMmO zCKE!vi42=km?&PT`M9mmojNmcG`f;bgaRUSj(~pT9W7aIv9K4wiTs^Mkn;TsQ0V6L zW;4-w>>P01PE!u0*GRJWPwFz=ZzAE9e9^7f)6FQ^2`^4~lFY{Hzr9IRT!f*e!{@@h z%251$XhP!l?A0GyusMS+qwe$GCgUHwae@9SeRaY z%kHEpOODGe{!J>cC=p-mVjHlv^DD&+`+YNUTGVgC*~IzO46{uALMQE6Xqn%f)!TbI z)QG~#%UNnhtUV6^P6*BS%M>q;mxpL3o?VPqND)dWENowMXOLnPe9}E7bwJ_wA+5IqxQdiQqWvul#7g}wY!4uA+Nc6v>lT`#)o$ImD)3YZw>~w)s_!) zec!zPr0<0Cc50zsDIk0-AoBL_gY|G%rf>c3ZP*7F*_1aATaNxNZkot=lU7r#F*mrhK5^{s|wlx^XW-o%`yOh5@t4JxMXx~N*YaMr|hr1c;@AzkqWZsOk}> z@b>&Duc7OUzED`+UqU#@067L z*B1|Qd}>tosl5^ck3}(cjv^oWqukvbIJzgtFB=HD60aa{^r=YUIHz5g0V*9MHpVW< zJIO_1)M0a=VI7vgFrQGH(bR{XW|pOi=_&ydgvJZZvKAQCyjAcqHJWiNc2<=I^Q_+|bi{?q0nmr3@WingM?MCY;6?we<7vu*}G7JH9+ zd9fCj=hYn=MzaA^Tz5m*p(_lgjv2(3Dp9~$g#O}b%y?0fSeuCQeo!$4EV;b2&!U+FFCO5;OdSrt;<-#gIUFo5)txOGakd5c?)wDSq7dQOxbkbW-V$ zL^j65mpj|LQIo%mi)x+`XJ<7gzZe>3u}Ges5hnu&)r2v0Ze3z`+_ZY(q`0*HjhhL( zM-Dw;AcuyML+T|YQ{|`tSKLOznnUnf0F_X!DstDADY^g03sKFOoU>mDalsGhshMka zwM5|asvG^Why=hTYaJ><}{KY}% zgI$y8WSe^mD2~^Tt)DFU85s1gT|AA9M=X8~2haB;aM|}1AY3nDF_zcAnaMzH3l=Ue z($88^e2|~=G_c&hRQ+M;vtsHkb{@+{*DkHWkiA^O=geEA zI0_p}FoPZwGc@!$Nk)x$e7whM7T_4-P#{4LSkPuSu^`chi}=D~6!D@P7U3rV`X)`r zw$n14M%p_io1u{L5X{fBS>ZcU`*B0PGH==EmXBT17Pku`Tl|pJJnjAL7Pa(_;QeAV zP)c;PwQfM~FZV}=#y5WCrc@DztFGBi&}&8dP&4KQw8ASf8G|-7#@ewT7FSL)PJtUn zXr%h*HU<*%8oSjsA`(b;RVrI>OH0hoc2Fl}L86BF=(#8AkFk%R*RA~m=j?AV;8774 zQFsjB1qdWs+6dc`pl=V7h=_aBQ{K3RdVz}sGhv#*)HRfx54r7AN=_Hc)R7zBZMNmR zNK+pmkCd<77%p?rso{h(GQ{lk?1xJDmliL?S1}9J0`@)1R5b0@O=rN2$nMkC1t^FU zJ026VZ+fzuhvsSjbrd(W`HqkO&lSN#&qv7{GEM>P!J1@RDLSjm3+MB0WClX4c zWGpsn$yI|dV~4~Ola^v)gBSC^Gb17yY|R#0IHi@%;lLj%2?+A|9o>4n>TP>ja>0S> z&@?pg9O7cqz~S$DKg7cRnFvJ_TKVu=I>i`TC26i$^j*;Yn>obR_)#38<)IfsQM9T= z;Ui?DVm-b_e{kPv-`73postC7Zf&Q$;#r=8#xCAW-K--yOk9v$Cd$U@%E7SW{H9(` z1-2dD^rqV*6Wrg|L;ewV9pL(qsKt<_|%H zAnlz?|4!Q@Fv}7jwOXyx*pmR@lg_x0KYIDW0n=t-L@OF1^1XLO1&&I~q3FPOj%Hbb zY=8^`WC|_B?GB;~!(^U>iG_m#z!P;6x3SYr=XJAyAbfXU)VxQusVxQchM6B z@xZ#grPqL!q}VqMWDbvX8_QAOrt9tgIajd?tRE_4v*Z$VN=!R9^W+rU+QR|UU|+jP zZ9W})c9`{JdG1Aql=VyrvsMk_qH1Df6W$4uQ+7b{r@I$YvhZ{iL{0m{64m{(7?$Y_ zjj!IFV-hm@HuYJwO?J*%@0GWB&3q?g(D{pu1#ycmhVKS2Fo;K9AyUEz^5j zu2;dbqCyk}>-T?Celm8csu@{Ti!Vz4(gNh4p(5QJQ5lo~9CMmmIx(#@Qm=EZzsZ#B z-+|F&_H7kvJm(8rw&i72$MnPWC0(HQ?|ZzlK}|k>C}$$8>F!bnJhh@an;C{H^6XFQj#UTAm|;6RQB zud7C@(;&!k9)9FaPKRdvXLZa_oY%K`iMBY-&3Q5uUF|!6j=P*ET3k{f9tJ%>(LIqx zCtk+bxV_Ul`}N!A2G5>Md3jwuE`Zf@+5BX20S4V5&01mK87nZESi-wIpjoCM2lUx) zqL#0u1gGmn@|23J00-bq<{xT$$g6hwpi)%CV=1b2ul0W@)h^<){>ElJ zg*IgLIkJ^93hz^N6t0s8wqOMlcrm_8-$gQ%%9op-Fgd42lrwh;tkx5TD+?rwdWpXd zQ$V>DOpezjc_RZ5iPOz!0D>s+_=J$8CqGKAT?WS~dkF7BT`&01-{}z!WXt}KG5%cc zN&=Co+EZ5$=ZEtNgNZxL$aiN5*$eRRF2s^+gWY+5hjcF7eb$h|f>kS8ROucrskemnrRtgM(A3 zi%3J$6ZVA;HTMG?3eo%5(Q%!M8fUvjyUE|rSQH>I=0~8b(=Fn;BdIYB0L8Z`Qfk8D zu)e1SXv-fFClEDdWfAca zXs?1jU=9BWL>~_T^R9EJ2e@%xzyF_4?7;G#!e}liZi@AvGEN`P$oQq2@W7%{ zD)idgx^K%kZ|MF|nja=-IQvR<{qjHaU4J6ZIoswX-PVv*PxNnjt8*`KnCz;~oi|6i zl4ML75YV5R5@cmvUrbepXUaHB<)Lg|)cIA}gS96U`g==H-fd}#C(^n-M1_Sv+voS& z9M9KZQ$@U%6i#aa`Ad6y_1?$T-T5#~5(kf9V#7fna1j8)&+1CjWP()O1h8b|w*DTKdfFug>2EJy^DVbU&r;jQ7_wQr_ zY{-4rV%mX#lD}V|pOX?;4l-b2H5&{BbZ+4N<^7lp(VElI2NubNb|VOb@JZ zXU}oi2?sL|_nM%kgkr_(Yl@rM5(KG#FXIGJO#=xm`exx#SKMuIMY%#q!xd9u4Q#;$ ziDy3npn_)p$nb_?(^AP!{bnp123p{7wJJj!W1JDfOg-A#D za(12|@pu|k=dKcJYmiPq8Av3k8GdPKEX0Nko0bjck>=H`&?^^wBs9DKtR5zkFOWr?ar+<>5z_UP-SE z%2cd`)8|Z8SogIwrkIYy$?qn9AI;>>EcIgD(7IPy_t0pOQM2_ z|4lmJ5797YoP~TE)mS~A#UEpn|4mBO50EBDCOQ@w2!wu3_i`ea zO6mdEqX7tOKjFVhAlUF~e5iR=>*xGh&Um1Pr^=4YCp_$Q39Hf`{c9PfhD+X#k9{ZL z$2P0};>XG|xWk{YSbjCoDw=@)HKKELeRbBt^nTE%niu%4{{jgHc#5x`*T>$-FIT&% znlbvKf$=i1mE->m;y8$^bHJ(HhlfS*sR}HR06eD`1a(lmPZ=hwiCB#u-o4}RC&oIM z(f=JAkvaf+iv~D)!_8$jZd*P_T&bl zlzHDoZ;(uSd)sWT(60}8n@!UL#K6z5*bl%I*1X7ck6X6Po)sJkJpa9l!9j(mgzbTN z{N5J5B)u;y?RL?UqcD?hS4vX<2^$+=B@{*NN{gmAM!82-o3iuHiQZfwvKp?7szRtz z)7QXa#=J(@mdzKP)wS|achYh(nypiLyPfLntT(m47Y_jVLS)Uc1wO&`NG+cqk8KB& z@2RoP3S?#$ncy$B@ks7e0ktca<;M-s7^31pLcr9KCo3(5p-JZ6s9+VS)xCUs|GS!b zULybWme~&LJQ5!K>Sb4|pPyH9nis2qi~QQ4FH_wSZ`$T&-r0_Q>aei?S184kEID9v z&=mt*VGnevQB9|QX2~7xl18crHYbIW$FO%NSAX-vrdt~eMP>rQAp(g-MBOP_*{t+C zg6HoDUixtE6oZ>{L8CLZJGrA) zD~3{{vl@9XKhSh0dh4{lR#>SD!Hl}h-N~@;_rn09<*|aqWqyYt8tUXiSy9av5EA{| zm`xHT+1Fs;h^lc;km>9=O}c>wb~y$~L2qZZ!-GL|^1ztngPt&h>fqTKD5xz3e!s=W ztH)uR*A*%pw6s&#$JL8yv~GO!kOL_T=c z()oX$Rgh6*=z1(~`%OfWoNVO&i|AV2o}pm5S6CT0BModi#)}}KFM*&3+$RFS1ha!3 z^IGe%WaMYe`W6;hS+FwvHAk`|c?iJtg@li6s0uF~fSQ62HI;>tM~})agBRTZ1ztR~ zot|-J4XW#>*6eCTK$Z=UejNYq9Rau~-Vde#Tcm;Xqs`T9!AGWW2j5dKdznYdKelg; zdERqcOA&Pu_}a8p6DNk^KO&OpTjnbSm;!nYX|vo>BzgIG_gbCuWRLC1X?1`G#hE=V zC)a8m6ipNJqOLCtz{y?z%+klxuzsQrXi@I`z3mNSnMe;+Cv z&nS6p^kJ9QI{ItL-s>y{AD;86qKbLaqSF7*Bz4?S!K<-zHyBI76>P8xq1{*8#%aDEZLMvs}hRo`og6G0mXFmNEw9$GDhx0KO%{$16gM7zD z2&MfwWx&Z_Ullr+9m9oW|Noq!`Lj)*X z8&>2)CdbpnaQ^QJr`*NkAWno#WdtQZ#~#lZ`Dnc{>G<^AxJ(7L^1Owq{KCxX z*oN12U)XIQxtB2NA-3hDX^Oq!BztZ}>yI>ZjZ#zA+-ghmOzHkQ_qFab1=$6=J=}Vy za;(TUS)V&eD@)E>YkYALKz` zTodJ7iQCp?sT6tL_dBMsF^Omah}m5p^_VQ^o!dPHGe%NZAz!rM%$63s>*zSn(Dgxa z87iK*Hd2G_cV&uozZhm5MSToSj9OC)6DQk>g6A?n5 zRWbcv(GTQA`TJQJIePrMJp6>e=<@De$K@IPFhMZp`@Ra+)gG?Ahw)*Jv)8S9Q2^oh z`U=$vDn+{Apa4~oQdGLZ%pC&0U{1kwmxMnE6=47+*MZ~tAJQlcfUG1{1b>Lv!sF7V zEx+4mTH5IQ;yN&E-)YT-lEn1?JE5g2{WAlFtQ@9hdS;Oh0aJhZs-}s12f>L4&dh`g5*FWGAWTX~I^Bcpp(pR1TFZfDlET&IikOJp7Y6>a~wCU;t z@)Q<=?$~Ft0qP}4&SMUFFi0u4$djL!2BtbAce<*i&_^J}x*az7=eLSH&j)>!l2xdY zi6O}KVoCxD$tK$wJj#9HTh)32sGRLj?cVVcYZRBx$oT{WHjw99)^q4{0s*h2Zu2HQ zG4XjoDi;rJx%pAVgVN;fcfE~Y$@nk(KFvU&uXv!jvwZ2JS;ngCXW)3Kvp6`YT>5E$ zG2zY^?H@$SdMX2GMTmHRvi5$CS!XZNY665#Ak*ndopM=lgJ%NM_T=hW83GZ>=w1Y}9;V%cj~K2rBCEE!PY zATJL={$=j6AF{T=TXksg^{qHGq!ng6Ec@3cF#Z9a_Ab%ugQ%4hWR~rdXbrQ$jrJbP zv>Z_({9j)pv<(i(c60&{tFBj8R-mY{)Wq__D}mJ)5$k6c1#38P*5&9u3k#XmsBiY~ zig~Gk!^H*29~pt|I_GXl2@nvT#A>a3s>9$eE4_S$)y?Bs& zrhfgn>o%%v^%pAFaf`}vnR!<8O2=EE0MiST*_|_18Y*8t=hNLAcs%Y1MGf29h(u{q zhA1@=Tecr6Je^VWESwgv79v{&&;jbMNcY5e(C1_}Q%AII@H1@AlgF2Xnq|N{*sXTd zFyLOxVt06?$i*?R2iqF2zUD5Q-BG>)-DbKuh?~0I%}_~u{IOK+6Utfz*JY(pfum$4 zo3iq&==um6kYEdGt1ZFitR@+B;jIo%ygN9Uq6K&8Uh<*gKcue}%Ek2+oXY_suIe0~ z*`SY3+ogSPeiN!YBHjQ(%{^)8NO>V^DPW)fRTwG|$5CA@-SA|nii412;78nKw(&^$ z)tkOY3_+5NmV{;EFQDb+gXeD(CC{GA)3)m4*wlmm)Sk{%H2ek5=O)V%tA66*MEqO$ zl@-0uQFsGt>}7bBFArZsE4Y+s7YbZ{&}xd8Q6bS}yT6eHD%ozet^$J(5kJqzQL%RNkAmO?8o#H;mq0EGWw&E2Am5om!3VosNlEL8tOp>3nj<4g zKVUZsprWSQL(m87sNCHW%%`IqGDfuC-m13PtOie=+3U+K%}CE>_}hEk&3Mf;gW#qq zlm96KaQ++=;OCE0c8;8a!{|%!ljZxIa~QO0&n3ubmzhvoYZj1z6msJ&Ep7fJFvMEb zgXRUgxZRmxt=YP|*vtJ8BA(XkEBJmTB^Y|OW;Gaq=+J&G%^>|3eY$P8(oN2Vueqlg z$z)G>()W0x?1Woy*0~^6luzc;tgp7_@IFv95RI0euFDW>s1tT2c9&a1nb)>Uahw@Z zD!8@c&@~~w{*=R9L=Cy=v?V*47D&LvrGlhmo16WiPlTG0LuLh6do1Um>dvv(*P+J8 zx28AC4MWgbKu>=sE{>-J?n;h7ArRf=$@s~2(b*03d2&=e4agGLa@P4>#|oN?_L|TW z$_S3e_)32B#12lArf=VtZ_9r8N(SnlU3Mp~FY3k%nhrZ2j*kP0{p1UILUv}Oh}AC@ zKrJg0+w@RYtz+sIou=`IevN2+;tmDyl>WSna`#jP-FOsPv^p4djZS~5!H z`+=kUcLF`Lo%Ca6@tk4QO|aQ2*8G=wBWG0RGING9@hoI!=kC|&)+=}+4yVjf>Kh8$ zMS#vw5&xYEtE7PAsvbB1WQFT+3M9cIfJs^~+TaQz0u{ajMgrT_R?#P-b!AR{6g|D* z`IV|nWG3BC9254oLA_2;mz|yWgxpg)A(|7E%EMe?xt-ep`z&bK{PFy8P>M**!<%Qw zW=q=^0zl!_@>EglO9!a3yx@}Csm^MfdJD(=YpOa&x4P(GH41{O`zt`n(FETbVo#^~ zJ3~*ISG(_e2{j0rC~xWcrWWZf70Rb+vr|1P?sQ|aJ@Exy;HWy>JRE^Ub5+`H57x>G zsJFlQwFFL^AqZ$fsgx0rB5W_7;4-^%Td#ahkW#>5)yE^EBM|er&88ZphgDoL%pqI( z;fPJP!q$YI1yW*nD~NgJN>J@(Afn&`9Zs&8{l&96*o{pthtDm635ujBx})q4%$uVC zE>k1k9Kk1gFj>X~lE#c61IIXaP;5J_cwzhq-afCTBj3fZ0UJl^jyS?{@$jy5C*T!f zf?z!03EOnf@+R=e$uD1O!B5!{iUu>k|7_5`B2eaX^Y^@^EbNQ8ckA;tpR8XM+5R#T zP~@)L<)@_s$yZ+Of+spC%{C=NKyx7OZ`)-`wl!XXlxuh*0NiWtT_kXoYirtLf+qcC zi($VHD)2#Vxv!|nj(FSCKK?!uiasC+#yrL#?miJ=xXesBTT2yLr_1r`+3NeO z0dES=Zh3;@SlYZpwuifiutDk|ITCtkeR-|2nKyBti_Fspr7vZVxGBTNZl%{Y4$X6A zu{#!cW^7f2EVS^7O3z|=F8U4mLjUF}Deg7BS8oo{9h+aCbig!0NJah{D0Uxcj_|HJ zpJ0X{%}GVWXLkWS74ij#>Km?J8#Fmj<3K$DIAx))Ad3@x647M57e9WNbrmE(b3l3G zoR?38l%jFuL_vlKCfZ#0Pzh%D;3M==pjC?2h#A@k(T<%wgA!n? zz=Z_zWH@@B8jTO#ht<94<_g~*i3wqb01>J69R~T=SlF(J*z4Kdhid`BoqV&d_pi!G zcv~c-czaP(2b&EcdF-sLM7Hp7;6Vsn(vp<{jRp{}g>j{FShxF`e}CL^!#xMi{0`(f z{k4V6k^hk4!P^FaOd~jrVioxFp0)|#J^NX-!cexi-{x{4uF3Zh!=HGhdRF%|#?5?L zWuDoFql(b7=?Gh&@vmrkUqn(EQW)r^S!OIOQLo=%?wKV3+j03e>pK}8s1u3nOnC`! zDBv=fp%D+4Uimk(1GNRW_iMo~4`D;>yVdXCt@rBU zW@U&X?D4j_oU-9-^|#I2WpcmEAghmtt$SIVii;-J`a!u{fUYnFBgBsY=pKAaga6F; z5P_uY^syt}Us?ch2d_m}h$Jsax*8O49KC;62GkUYSkVIx=*?Ru$2*h^s35jx0NJAh3G^=~CY!8dpJqE$%Y6k;h*-wm%hOErNOd<6HHp=z`cc z9xqsg{K;vO9&vUwgFmj{L^+TbC)kUP;ERSO|KC0hF$dkmmjlpb%^2Gcgvgozd4Oqn zi>2(h!-s2Oziqs_&JKK*PuGAMx_4WpxR8}xzZru}Hedx&xf)y!?9ERsXukqwU5smp zu9_%BPpc$>kA^s)l!SzMv9Up4a-d=L_4AUnda9>qv#z~2P*&Tr*8gVrvqLzFeS;|T z12?E9&5&_5P{N3OTe-fF_011m;8_(G8RA9@u5#5#GB2e!xT^*RzS)bRTs?HlA}S;VDS89vR3-@@L@?38vzu>|_2R4v{cu&FNJn&thk_*68z~yC&dY7jzUYD+ z3{82YTD1;5Dkkc$RS#Mf=~h(g5`n{VWo(l#==(eLHmT%C;aedXtTCK<%arUpxM{r- z6y6&;H5iQ{+pn9OA);568ifAL2OMw66R=z|!ot9uu`L+%mMkgZ-Fr@rRdJ>dTD4Xne$!s=H= zn#0@TXc>Zzw_~39j~5Z=ncdb#3M%06*q^_O{U`vliSDjB#+;`X_LJ5N?lo+9gT9>s z#r)cR2%>(gj|sI!9!vd2&F-wf=-*Pu_d9ZiR21_Y<1v7SPat!Z2nYam7=H_56tqAG zDASJ~usMIpXvaA)+)-xDf#h(6TRnj-Fy&9`=m^^tCaHX#_zQ#VPO)t?w`*>@F?QJ}8Qhp>@I6Ye_9Yu1q& z)@WX@JV#jWz?IwR#fQ;PPsu%j#H<++$UyhCC(7(2sHj*?34GDsD2giMv}9f!JNBWHuM$=8MK|#@3Bb~jQ)4ABq-39{#|N= zY)McnBg(+oI%&V>j|F!8kxgNdchZwl;BEM-T3UEh#a zAtP6Hc6jGdz^7@eo5bk(D@p9A&CVQ@pgtehag7P0d1@Hn&JCY|x_lvP+>bX{2g(&kS( zbKyUn>TTJXKH8bSK9ar8uRD+cP3_4;mb`J-X6sTX(t;`C9~K#)8;^n-kiIhWVkRJJ zT1`UV>%Hmfbtf@4HwakoDMPM_Pk`|_LE7E*GbtaQ zCY&+ji}2RWr$!Hfv0bBge;FZrb8e{k)0zl@t=&qx^FgyIP7>E*Id2K^Esa?;&PPra z3Y&wlCrL*f_Kfw_j-X46r4D7Bwhyg5hbr>Pi#UUnCyFrPbCeEZ$QGmV{FF&z0Hd0Q9qkR;pH+gRzA1cqLuSAS= z)(^Tm=dWNsTX&^>=>rGQk>L(T$BH>o)q)c8i?r9*zB|T5b1`yIS$8k`aj#a z&mLHT2I_m7rKYKcPurFD<+@&_Yy>x0@R@i}%_j};lzhM6TEDA>tqSeRLqh&*`J1gR z=r01AZdj7D>Ng9&?d~oJmz9+86QT~t%XfEoJAGMuG5xGVA(5p%>}j(SIX#lIc#`82 zP?W8MASDId_*qP^hwS!SKzK4)2HH}5gabyT#Wi$1QK9*mW^3v#eCe$ybQO|}De2x~ zUXeJA6%?bxOP}s0*3SPWh>+`itvoUSX(Y$rPA2*}kUZ_LvVoSxH&=ZzP}wr1k&Me@ zIy`EH#TtzgKxDV;-dk+w@4DK%3d*(mzb@&t$P{EszLi;r#cfKO@3mk#5;xE3t%n(d z8m))Uf`0{8KaxS!jm!1CFOPKg6n^&F&ZL>oS$kSo*xz`R$-Q0UrX!Zkp9AadBOAVY zqpPKT93}J{xaA-4c<5CssE3U4I08c zecQF9c&Rcro~0gXMD^p7@l303w(4_Q;FEoI0gORx*-A=)wu4Y}XKKwM8`yscZc`|wM+}Ua>$5>=#^0ow<_Pxy!%G{tR)y71=0@iDke3+d3*NBN;s1|%E zI}RuwA&tE$h-QW-iJ4hUqNb+xPzpZ+YNjh#?FKZ&5qIm7I9)7R>}T3ZZ=%(+I;f_R z@{j3!+$`9p>hkn>pS7(@;nv%6rVp>7nkwvy@l0<^$#v+!5gAiULo=;sJOL!GaaOMW z)%p9AX8&i;UcV#Y01zp?8j{-md^gll+mgPnn8xUz(PC7T7g(?6t0#C1xlbt14!(3N z+EGkDQgdNJMKzi2$yK9QiF82HwPXRU5>4>CyFplJYPyB9i>NU<(iA&9Cxbv@Ha9$!NzA{#mC^d#p8(H~4-I(n>hPmp> z@W~J3U1c@d2M;ZBQaItN(+CMGE)VQ37~e%KMbf3G_a7GU)L3V=*9|6!G>UL?jOs1n zgKfv{=s?m}fjgl3u~kOcsM}oVdK2yjX;X_GMaDb=1V1WsZOqTk9)aheRu&A}(b_4> z1NmCPV_e2he5Mv-%%vv&c6GmSnohoOI4~QJW+;9?5SM{hlF!e*s@>vwll==Sq~2g6 zM&H@C0#5GvUt`Ow0hbw@aWr3CdpOqa!+b~UDk`f%Z>T`t&iwD1a1N}C>>&HHL(d6h z?676y((%B~skj%E6fhJ!>&I)4Q;j4!x44d~fh4EJ$BRNPhZ=ouHMHxVq+*_47#4t( zA+u_%5s1oeN-(M>>c|Bo!}e-N3s9P^D4_9q2WaZN7^zZzeg5pmw}YGy3$%Q)IAtnl zQJ#I6N(%Gq2MN0#Xx%MaU*NOw@Hp(cnF^GOZ02RwCW?6pTt2pw>e!hspsFq&Zb^`o za?@2>?t>~@sYF?3g3ZzFrhI?-Hf`imWa&pp6Q%=pkA(TDHfVwH(c40`%`>x>s3hl; z^KN>Ue`{+qwb9&j68Cu1N#G7z$<2S({@yu>zbjr`4r_B1c#&G7GroOoLsvg>c_3B9a#W3870l(wuVRvE=_bvk_Cr#$D$rVb2S3jx8pes@ek_2=cL4aJn zAlyc%F>084KbswMRS zyYD(-J>3;0|07#e^1fW?yF)?@A@X@GC3LxzVimdXS&!EXj)66h4!rAyta3ZJ-i`+Q zkG)>@!J;pLKDe{&aj%*6XzK~kyZ7-G1SK2SoCkqf@ zH~(T_1Vv4t16W1OFVG(zQxQ{@({hKE0PfLz}aSQ<1*qxSsgL zpTR=b$BVnQC%4h=BZM1Lr*PJ{kX()Aq@-4(A=l}IOYjWK;`|#wH%cU401N443 zcbC*5hcq4#d6o_n8@E2qGodCcNFn$JV+%RIHCS)5bS>Qbtr%#Lf`Vv}(?2}P3h|;w zsuVe8CxUnPnQeqQeN~nLH0{-npkxk1v~Q@HZB%IT;y68>a^fn){du-^UT$?5lY7jY z)bca^^ON0?DN1o#>iJK!=@y45f4sx@6gA3W6dHE5P#)UaJArCqBl%+I)t)t}JW#ba z<1R2k>~Aru)~^oyIoDFB6S58h4E_q+3~5~cwNY#+nGw}LMrmS3*yu=5KI0Ir0%vO{kLl~W) zIRLwVM^QtsFInm0T9d8;B*xyjR$B#Xx$0qWLDyM`Eq6;G=-bS7iWHBUJx{=yytq%| z=xf3oz-OTAqpPAvu?`&WI>E^&U9f=8r$_0ZTx|x{j^!w{MTe+=au^l95&` z>}1Qq`2dOQ+-PNu-N=y!MCVug?v>TQ$btus_%qIiMqdj;H}gVDfDC3a1i13@*u7S4 zqY}V3m2vVOhr@lXGO1HS=UeUdy5qtB+5#}gmZw;}F`G{#%*oW8#5a5_S_#x|yHL@j zgDXg;fpl&_6*tvIZYVtpv_pWj+whl=0FcK>uHJhbk@wcI1GpLSC>j4dY5i z9B5K&IvLFtSXf9TpHV8IMN99iGfzg~k%0NmJ%UdknCdB%Vm2Wg?~8>x zJ*{`#3FOVN+O50cIo_=N`q6e%7L7PkMp!~dbk;BF>JyVI&Hl)=d36JV0NytL9Mtvs z=%nIDjcB=c^UX=>5~E?5`$|o!l_>J z^d?Rk_dSBmiRZ@O0MVm#E?p=^33+^hPZa2B74d$Ing2}u`T)1oY%J9y@+|<8)@&Tf{xoGbeQ5U+cVv#`(_<8{q~@c!poZ-OI(Yj!Qo>znauX;B+zGUVUM2 zHNMH*4a?biHKF+LbQo zXjb1WIi7C{8?OiCbImo_O<};@h$g^0;lQ`3_cU8&` zsF<_3I21eYX^!Md8Ix^5znl}@Q#{2$X}4twOFO!a^1Lh4tlcX*Q1dZG>}-!1zp*sQ z#@-%^Q#@BTwZ9n9I6Yo?0#>t)R0gtE%M-@_LV&0mFN)!fNevDlO-+T$PWccn1AjWT z>abJM6UjtrRMP# z7N>vn140B{_8azO!3YbS<3zq3wN7-m=d-m?U%miCWkVX^dYG*^Sm$msHC+`xwM@J= z9d|M#$z5b4Y4k&eRKF)J%O41E)-#r@-?rlGh|4~IXq1y81X)JA3JExRFYk(~eS;wU zNN(NRs7Q(+spH$5DO+4*+#N#lW%jdH!We$O5l&Zbj;X#HBy6V(#R^!ox!a@g`}cBa z{q6;gYdFxyY^Q=McXXxO-~I8V@z2j@2_Mog+3vYDgJ$$rYQ7X%YTg9K)NfK4*QZo- ztsY!L>%TO+k$tD*lRk8JrHSv#;Xn0C;5i8jwTi2@(pxxtN~drnlz>#=CBL&0=eSic z7LMeR8j_KVmYmDDLlO%hhgZ>`bz^~o-&}nl#x_r3*;g!Ja!WGI>c?vxR7%=8%7pDs zd67nm@{}sQlTQS!^puwEl*Ppl{QCwPDWjIY2M7j4Uh;*%DwNS%6ba_%&Q1UNk&*&)%d<2WrSe+|6^5!c^=t|mNX}!{Yl@?yF z*ieh9uoXYLNOzI9C06;O@>H9EV5}BsHOPRzhDXm1|Ll$k?sDT|E_ zfaTqpmouM0i5JbCzT2*j-wZK4?B9I7|%|m z`O<3`;wWfHy{Ja+R|%>OjMu8BKe>^%CqN_wu1rxWE(`cd4e^qFr&(e@^wtUIahZxh zyg`$M{nviAsF0B2*8@2qOvVz(jA8;A1Eyg&zXn>#3qKZ?yiOFqjUb`2AAyTUmY?qS zO>_`nowNE9d-*fJBL&*5+ZJEe%KIV1ne!8TNOl36^YNcYWhs7=AFFDhM9ECL)2;?B zi-1J)?%?FrQ%H0IuLRcsB^h?0%&8M(XEsEWo`+U@KV*fmsglscy|W*Ldf_zG4wG(hfi2_iK23ZfEL@TMJS@!tUTMS7 zb`Aqvv>o&z>>y;oWqKR|`BZUPb=Ln|_w@ho@6x=Xz&x2Kq5>DbcY1NeaQ5Q6jt&q2 zNyUNgHUKC&I3SV-ZC(z(+(u6U0or*iI?;T$@xeb4&#r687doyjW|2>GKg!CNBZ;u7 zz$685nAGDpF)6$ynk()pzQF*sTQ7Yxh^TEqSR(sZeB&>6sku4QpQlmmTo1)=@>9$( z3^WA-fn4GTN^#k$9`3+VjgzwCp>W{uAW9-`Ld5>be#YOfthg^zCNdCA_Y8C^4NJ3= zO6O;1K!Sm%x~d8;;__x+AJ`m+^Hq8alX zOfzQ_YvZMpanHurrKU{RjNlRwQZg zf4F?sN7&@B7{Reebw`?qxW}Uc0;3T64`cXVp_xPgSwLYNu2l+J7gb;JKp)fmX#QtRk}JE@liF7)B9~|U+fnedSPOwnOSd#Up`IypK}g8u(o&m zK@7(jwEz82CtSv-QJZp-)Kp64AVf1p1Mp<3^@SE@1*gD2j?AW;t}D6jWj*m)>n!L+ zAq5|4rM2lK@cQ-!l5qmh;iJ5|#f0-^Y0M=5^xAr8w2czEHFEdikHoJ5o@TkC)md*4V1*gR|%Fj`$j zu$eIn8l}f6?Y6qi)7aJY0|P*fVxK!<#cm6X{QF9omU#K$Dt&v&NLcZaN*&aKG#P~G zPKVziEp|$x9pA8?NM?0>L)F1HO?Ia zCzibn-y4FeA#lD2J^7hjeP(+(jJ3UFMOH8T1=*xu zL#nAHn!7W;!x}nSQwc2gd7z_7uP77Liz5aH8%^UO8-rEcn>A5JYFC)xkronT z0!|=WMt$mD>yj+0SiMiCx+hgOGxRD5lzIh?a&jzb>4WAQH_FrKII}@lqgr4k$~BRj zvwe7Au zniI2MImDsJC9>Gn=9WT0dK+>p4et5tF#ReCNq=AH1{0yw$*}hym~el?d`6)BS4a$+ zF+znJhn7Bi`@NHVzYgh805^w=<2qt@Wx_&u-IS(5-A?3y1ZDSH&VlkM>rfP@Yjh0uSvCNv&48Xvs zG2B>dBq<5c{)-EMiVYM9A5p3O9_!AM+$_e9gkgjTk=Mi~w&g42zCQJ9@Fgm!y;0>J z!wKqa?b}{P8E8nzABmx6;=kK52j$&YmC_U2J6QYkG}Ux;X#wIV)qe?UB9+BkNll;u zyFgYN4#Dx1Z8(1O$8FzR2IiwAK{0MB;jwBc25a6^N3O}Pd?`MkC`~C7=Y@!o)N6Na z(LtpVYkJUG%>|;3vA5^b^XpqKVCg}k&HwM_WP2Z*Q!n3yG*wjg?Q;=K&?Y9N2{^PR_xI)@gZu^Ne{Kf-^k ze^$dk(DuDRDG3hfc7$}zwC4h-h5gQZdT#7S{#flkId@&d?@wr(1fZI9^6lHcqeV1_=A@&+%AMNbLTH+1IJ z)%8Ij@yM+jh*O_>J<=yYj$rwRe@k~HKTtoR`*KJ{BsgDy@(`(DxrYVRkMn9q`eG$E zboN$TdrN8XV&A6+qr9)^8L)?1ALzcZt+jDoqR|!8FVhwwT$uabYh%F?p8(tm?6dw{ zp#ooILSZjL0t_z$*5bRTVr&3Z`Pkx z<8;O>-6i#qq^Pds0{GN4`DYYlK{0+?l*Pmbizj|~#BEs@u z(qyW$CE#>fbay3e?IWx~Mx}jq(2xuG+7L*fDwCpW4zM_A03P!2=w!O$Xc6gv3I6pC zSQ$TsA!Y#IV3#!ekt|hSg(ly&WU1(H{>W{bQphnTpoL~$m*5j4hMAda-qhpT5>@+> zrq42!1}e?~ycocRhH^!uq!=6|3hZts^&7z&wmUh&@=^%^6LWIuxf!lo)Vzq;{s15j zUxemGCF32GZSC_iCC_epp}7B@%fnkNRZN!QL+MHD)Rq^_)mH*k!Ufd;OO2!!ldA_HIoS}k#ys$?(x@&lctOU=^@LNIZ7&JQ=b!}-TUprd!X ztq?Q|Quoy-NXk2$DwVd9y|)kQM1#z2*Jp&OS9f2doDduJ%O7z7GMAMa<(B#Eb?q8K zV3G`tgPl`BVF2U@19Q>Mqi z(501^f1S`iloM|)Q>M47EwB1F(Tcgzs!M{CD3oNJ2ha9*Jp&Pqz+4mYr(rO+p{lJH z8cwFuVz;{a!$ynd(!;mK{9N2z!^g<@qd^1=A5cR<=j2?)(&1NN2_@hDg9YgK>2tUT z{&{X&YFzp7 zCC+T?efrc_zt@W>TIoWe$~r?a>um|B6<__OC9%|=+B;7o!um4AOo zUAb+sDYO>|=U*JFn6;3-P>=qpif z$Ra(-|5oN4T>XSE?3HwpA$LRQsJvLO%#7`}I+Ok4>vP03sEl050rH4rI3WUIpXZW? zxbYn$S2 zcP?O&R{Dx#N1To>5AMG98q9EM?~HjJbK42x<{Ijx^*q!MuZpw36mg$F8(Tm7ZTuPr z4V2*-Gc%Mbiqn-J{P&vczB1_Dw%ZR$G;xxB6P;jvd$U&J?c0g9nuB*io*zuZVQ;>D zVr@vBY|rPLNT)d*Dl6Z55h(EVc)#u<4y15}hL&q*X2q~2t8&_irfp&CBm2Bz&rW>% zdwf2%XqA+J|Kp4K;s($|KTUZeJUq(NjC{ls+L=VBXnx*; z-@c5|T#RE0h!XaEx2{N7?vJtn4au_Z##M`?KHDIRrd#|MfPdCoX0-%*rqabfQzmWn zwi=YLK76+uTg%cj(3n~JuX(&*kMa{>{*sozp`WY|?jP40q<^rXgjZ$PN=Z|avs&13 zJE-`!#tqqE_o*7?+W5l4rhQ**h3qerQ0^>ao!Ya=FqnUPBNze+YAZkqvRKO9$Holo z{t;Ye2F>p5((IK6UjgGbMU5o*iXRE9;NF0&mAWTv>&tsxow(5o8VX`UqJ`P-D)C}8 zv;99zzKe)xPb7&$MG8L%->S0;<$x89=Rfe>{;jz8cP;6-P>BYWL94zHtZ{6vymD|5 z)OqdoQQ{(0IU>DofrefnLGb;L#zuDuZ8CqPaW1{{Xd@IBD4AHzUH0qjAZ!A5kDx0t zp;|SRCL?$?_Vvb4uuk^qU(V5t9|WU$Y4*%B4lxAJ^Rq zeL?H?)UihE=_xvXyA5r7^}l@oP?=!)dNnjbf-c@%k@lN^jAr_L{ExKxH(FvfGJ^lo zzpo!^)AQfZ{B&TY?jH`lj2wW6NkH3~g;=-&4>`wQDm=Fx;WAN&hGV7T9?txy%c>3Z zZ7xpmi$+vGZJ>Pr-v9K^9WFn6Zc9l~mRCjn@|XGjBg{i_d|#xdrpeGJ)uM;7)J88Q z>YUh;k%P`>b3s9+it@L7nrikL8RtMliCWOSJR?Wm>9jjBs#Qi{9VJ_-IuT6j8?K{k&=fkeglI94rhCIFbuLr=*M+*U`(` z??~eFeYy1z@Qksj{r4DH^oE%51)hvw+)4yIXzs0B_E-$bx7~|o(k-4=4;an7i^d#f zl|c>IX6RS5pOyN@4~D;9l94@T4ls^K%6D{Bym0;@3o;1$vP#q+^mOq(psfd)p_<1s z6DumpT=+x6d$ncy_16wx#(j6ars-SP@gFW(0}oQ%_%sg@$XR6cv62 zN|qNC6o;n$9WM;qsfV<^dRq!?Bf@f`A7~Qod|>g>=qmB( z;B9)qf0FV9n~skmRR0+`Y;xQ~NJvN&pHN@8Pb7XA9Gd=0c#aPFpzcHHT;phYf&e@u z?JIUuP!W+_oKS3uak?a!tBfyL7U+>L(vj|ea7p=QmxJF^PSrd3R*PFDdCiVB2O8_3 z|8VpAXxJ!UzYL~)KF;|K*K11+gIf?D?5Dy+{y%IyW!PMH;s}Y@KhRJgU8kizJ#bs9 zyqDolA=_$w4Q_}CcvqV>cMa-u6`ke&y1|UO~84tbDpaRpYwbb1-Yi0Xl z$T0tw)WpHQvl5nQ38w|%LlP5vT3c$)D12ohu6E|7KGiBd2^Joy|M;1VHhm#jHYKi0OGE@Tp52YvVnfiaePIDmVJB^v)MI%@ zDS%xEx|W>Kt+}3Ttke#_v}aWIT3|&`JVs&^#y2E58YK+2IEg{duv7`JIeiXi9zZ`j zLa3{C#+h%=611xP=jJ6Bw9(9vZ2?l;>_N!#+N2#XqF8BKjHd05z3S$`eo*;Ei4*r+ zYZ+(JZmsPNNEdz*c!c(}KiKll`1tw!%3r>wK2M$KfjZbD$&}heyQx`oH=VZ7rZvOR zz^b|e1dGW9<4=?($@%pzO;Tr+BQ_4ymm5>@!t>i__?+B;2i zVj14iLccS^e3wE2Py`KHjE~L*+9$u z2i75{FHf`QzW2+j9)T#kX~gbpfWODhgKBCJPtVV$bPZ!d^|?^+tw1 z0GH?edZ(ho#~yX>AI4PGSvKKB_v=8x*h)V4N#!5eb{~2I zdKJWxAk0kO&w%_dEUM^J8S5)dm_ZUM8R{0#f4y~DQ(RkemRO-jip;7rwQEZC{nAz~ zq|ih$o_`2`O4L4+y{;-G%r@(O?t#T~w(BqC`6d+mr3TUq6{ig_K5Je4$YDEUfhgXi z^;^fg+AA_hNMDMa4-pBJ53V(luTb&7_rck`V|o4_Wr@MJk_moyaw5#efOnKmsLkv- z!&M|G&?~#Bzfv%9c;Tn_49adRfU;nuRo&qW=s3*{y&1&q|SkECo)=sZ{FUn+lRzQ$Dim z*dHyFi!S(+Hjb6}Q%O4TVp095SOVaVX-eFR#X|@62qo#T&&_^E%c5cCW4^wJ{Yj_j z87xS>1Q?s2t>`%Ui)>HA!?!v)eGYU-vdW_k`@tO+^@j35CZKj>AA-?lXsQUl@AmL^ zcbm}(WyN}-9NojRgctGkCUi6zbn~;X^3wcB6*i}sG!y5ClK$mlYs^HlPoIuWmgq#6 zLSlzF$^PNVi}>|-PhOQG69^dCtMwW6;Bmi9stvd9f9m*zK!DJR+{a=C4s6k(98efU zPC+k24D-!U3aw^=Vw}#`&i3{Pkst5(YnHia^3~aeawPA>rJhcZsbk?-seb}oZe+wl ze6>GmF(dsk!$(_;Y=<45wd|2`vCoQ{3(-1v`J+!Z=9YwdWD>Byp7Q6e#MYl`ZqMT~ z(fb$f25kA!(cj^#Gi__k>4gIX^TUR;od)Hl%*p`{YiT#%gvqh}r44kfd`q3(>d~{t z^`0W&km54t(12ku5(F7nGO=Amoooid6-nz39JBy7Wdw0bOr@d~D zvuLCWw9N)XHCp?Q74w9CzZ4N^jf$?61ClEGm6S=~-?h?zv?iNR)R)L>aS`>G^#WWc z=*07AStOlaLiNvq`!0s0Tj}Abi!OZYD9UX%+56WyesHjM!Uyag!8o3wlTA^`X}<>m zhp_kz_oFTJL;fd=m3Qem!KmC*Qp$&?B8LR`*#W4s%nov48e=!O>2{*Jn1u`$!meU{ zzddqQCz*G+S&UT2off{(NUhiG+tdQ;xD&X5Qtq|KgEUL}C=){OFE`_+y#;+aV3ZZh z$pd)yZth{w)-S(omFDkQJ0*DwDJVB$vJJ+mn+5qq@R~S{?hBqx+cc^rm%Q-O7b=Pv z2X4O~ZO9Bc+_8hA55eQgm-cOJuL)jTr~yVAGV+Nuf1uyx27ccQb^MGZAR#|M*-z?n z^VF)SuiLTzCr-)?i3jSdD5q&C-yh?R2I|mt=?DFv9-X}Wa0UoFboY?M4&4SAU4_1V za&2ep8|kI6w+K>(5V33%;US}W5@!iz#q$2x+&76 z0@g~nZ#&N465NStYAO8$r?+w6i|N@K(J6>@*f~61s-n`8moG3=N8NFq^pcFwn@C8l z_(%xaQ!kT?X;uQ_>4hMUEaP7BuRB!!jYL$g;q>s6Fn)4vqDIXxvT^*#v;lMlOLkx} zy+sGv7Up%5c^c|B^2H@c1>-M{Soyxw`uWH8$KMIwtqcOj;CE6e z;zt&<2%rnWvcY``waiorajz+9hd4rmDB89cdN)!YOxb@5d8p%ZAo@a4vrAr)_Gu|4 z6D7Ubac!B?{`xXv{^BSJ6kvp~pAGdpAE*P~-ud)}--4N`*T&1P7$XhxxYBN)$nyB~|#E5_U#?j?* z8G=!^0WPHs#!6p5QTq691F06#QlZZUKgG!Ygb&S2Oj) z*$r!7VEHpxtR~GS zzrvIuAwwhPB_T6%m=gug=IE5TPK*BXflr?18N*msCPTrnhORFEE~tV~WW!ief=k-> zeG7FPn*b0H16pYW*qs_~2^q4fHAwdpjhTB*+UwaaZryGjNG zy$`5_3iumYQeu)Ry7-egxBR=p`q@Ov*0 zl#mj^jM8YIv=bdqa`Xk{cMv-3sF?Yv^k#7Camg1)z!m!y31+c_PYL$X}EOeJl}q6Pu|W) zwmz4Ci=pssNCUHlsEr4sl1%KWtwj4(AZY$Dy%)-&W~H|V1FuzK>OHd(B@>;*`il$j z3H4S8p8@eSRfSi3qh^eH#>#@`l*WmO{xeGjNYHx_lJX}@4;gor`Hlk^>)56GS~4dt zQ4OA>St7FoeYdN4RU{;GvsSLMUJb^Mm^OpP%#@0JzX{-4Gn6uPyT#N3=k&oS%bwb| z&sYaF0ngqlNXUxqu15T%{MuNB7QuiV#mQ&ROu2lb?-Fcjl1}W^xJ#u*E349bVuiO3 zxJzB0&E~lhk+1&;XWHz6t}d`G(Elx!vk+MJ}Aky1-!$WnT*PU`oeNXa58z!~&c`9Hj2yPR5&CpQue`|a;D z1(>(Jb{`ybM+Zz2Z;IP45E}*@Vv7mO@TmSeq4b27ogPjzHIqZg#tixN*XnqH6VFUH zDjA2eN+T=zqw>?&has^e9Mk>o6 zgPg2b?UG{9=cXbz?T%Ut7?TSX@8i3d9;|1pn2fWWIV$4XT+S@6`JGrwLPs|g)owYB z4>Wy!Zh1vcvB=eB9Z_ksyGDYbVspnMPnoYj~B=-4>920iA+LmaTmXz0EFJrB=qFqsVY_Qek+kmI3VqtzNc%@VhBhngf=s`auI20*F zuLPyH?IAm$sI1WW!?yDO!Yo$WuIP+u{PwnYV-m3VtL7S9k0j2I6^F8+w*&UX+!rJ# zAoLbVQZv{9xAM-1eERquWx-(QpXXx=;!9e*EJWw9y*6ao`nv&#QdA0+Bv#O$tpYjQ zEid{8*4mHYVk1VD#cl}bECb0M<;>?`wV+o1FV`zoosqWCgt%~mEV=M4w~N+v!H6N4 z7p^w&ErTk94u{&};_sOM<$?}v0R?nRvgTrCvE)sA3OMRltLt6fgB=ezk-?()*w;|@ z8zURY@~|;gpuduB?ivQ!5EJ~>5Jp{Lav`=Fk0olV2Rb9;OKp$4+aY&%IU zAWelwfPS2&%z$Ec4J7t~mO#KcGuNHaks=arfuXC5OWiH6`1_Y1fKLd>e~`ypxynod z&tOpxY)p-6bcLn=Zbf>8P@@kucv4QRoc{7NG|)P+wMD!ee34k6B=vzOj@K@V0cHhbZd@URwMC!bSA@tkn-WJ z*~_dBVd6ho9g|EbTMsr5^cLIk)B$L&)QGRsbRD!&QZ<8eytd(zhu40;CW zv_8Uj*hiKuG_MZvY(Sv}Z0}2YNQUZzkc~u-5;MxPW05K>T&+egnnu8R=#23R0OD)Y zHEQH=KFpuAzsr}9a8muCki`NM@I)!Le>_Ku??z5A0J6VvNkg>*dA$JcFgcyR&|Q9m zOl0`5oJ`@$Q+Fh(haG{`wrywdjhf4n+kp2WCsyfpL)#d*V~ylO_nB48Pd^;*CTj`-JzaZ-FmTeP%~E)) zf(gGJyIv6Tc$^fy|1ZL$asx}rc=$Gmf~rXJkG)lBsX6Eyc&YPnSTl*oGxRnf0>6{~ zg_}@MIf$8yr2Pp0Ta|oW8%@6{o^Y$&hV`FM(@%%Oz@g#8z-fYP6mw%U6)7}o6$d{VyuPGQAlXB2l#*(PrBuCl%FkAq}8&bYK-Y4S;9@f-f9WcmS zHHu{NA=k?L&(Lh#jJIIZLX`eq9m?&1O$X{H`2Rnn02+M z#n&|zQ1`9j;*2`!Sg!RG*FQ5Tot6^k;Xf_3kwz3RF%SxI&&}2on^zKvc^> z!|vew1^dcHz}?KOdiXm?C!J{_q7i>C#qY4&F7&`<`F`!W4RVXj^hs2lY#5x#O8;J9 zmDP}P;00?3r}XkoKDxr>`PP&fSYX2%9rwN8sCT7^fVft?_3dX!xk;0#T|694Uw!ll zi?ZpG+kV4~{4Se2_cW*l zyD}L!T9k^o*b(w4Yxcqe&RY<@+RIzYbVhBL7mwme{EX^+?id<;Eq;<~*-jYAf(Za% z3};wSIg;yg6G6rPQNSsMn@6)O(Df_@0Wx2;gXE~-xaJvQ`IV$tgIOBAnit;U)N(}%NJvnK*euELm%6VIchZ1y!kl(m^ic5 zQAdn6_H)&rHGj zH0u&*A~&-iuv};PLT)h(#nVM3lF*O|=k||L*xl_VIL_~5^IlMVP zPg~-}b(&y24CS_`O9!p*L)$pQps#<_S0@J1#~;P_fPcJJz?NRxA#F2~*U>pSR#b<# zQmqBB*tRutyv%;{xwiSvH8eD|UCs-CuDc$Xbwv(VJ0$p}oaP!Qq3zEBvd z?ATgZoX8i4hS?lU9`EHj6{Lfc(9oVesQ*ZP+$ywNl+R zdq0I$s+H;VrTE_U}mcoDodyJHjG}-js(;e&^E7f$&c~S>M!^Yn+q!kgl z^r%rghr3A;douco2%Q($Zphh`U}NvDW{E=$r-*K+i1^7Vq=kkqie-ts_K#%h&5+H^ z9*E_t+-g|dK0Pf;^xw6{W9mVV%vv@;jHf^U6gw@r<0>&;%#y-v=YDh(HT+3C%}8g&mI#J-rzj#4k?j zOm7R@O|B_{miL)ilX^<; zXeA7Pt|jB^*F8jUDmH=nRAAsxflk+W*+fd`Ys_nxa!ZIFI=aXNl&^-DT_XO!<$24nqn90u1*?E`XECc zw142^wQVQtO32bYmsuO`cv500yVjtMsNVKXlQf>n%~O2#Y?$(7xX$|5XME}n8nU$r zG(V9ECjxGKaPejRCbIL7(qv2iw#v`H$UT+}rtyTf!rLZI^0ICzg*`G{8_Io{ZrHyI zUSwmon!1gA+*`TUK>GXNvuc%@j_0ULbR~ve^sXw@{rZn7U|~G0Ego1v5WuCYMiX1n zI*bNe0%G|Fv(j$gmXqzTW#d&gucgl_DVhI7z^8mgqOaN^f$){+T4zk|$vW@1Rh|}K zv@FGcyrJ1qL|K^+|5z8q*oeX9X}0;gjvq*mep~YQ}d<_3@jqbc@~!JCiQDQ z^ELl@$L~LrkGCbtLRu#(9Dg?#jgSwUIZGTD3VTA}c$0=bF78~;<@@Vz4GfmB&WGLT z86}3*)4x6T{A@76Q*)e2W&gFLVWMKJQof)df#PI;T&46ii8?I!COmC5*}K@KDl{za z;CzuA>;#dQkNMT4`I9xz3)ckgn3VIM4Gya0f8FT@>ww`O>tLclrNk)W(d%XYZ8luf z@uAr2cs%AwHW;N$tDzmSVVU)(VMGN%K3G+L5#1nXT30VK<%NE?!IIRZi(TBS?VU*~ zgM#H&p`q@C%v^=%9vUtsU8|eVpF3{wMnq(MeO7lIVN#lVGEoq=w`bO$CAC)cbbu(dJkRYL4Z>rFVs{&R_s{!09)3Ir_2LePSYxB^W!;}XPj=u^sPe#)r zg8jQ!C+;C4nOrPvzuvj31TOJR=;6>7iF4obfIsQ+Iwz^u{G)ouO#yW)$u-q}IfclU zKzX%z4g2SQBnP@nwc)i3uAA3Zx@-9%KYh_~{+U{u zyWga%$cwU~Y&`Pq|SnAt^zd9hQ4aOHc0Ox~SgWaNdRSA&HPR1SQ0-km_ zm63n8S%IsR<(|C_=zfzypm;Uuo@B^*uY8FpTvJTjWe5*-)-eG(@ja+l@8ZH^%ZQL< zO2`;>L`ZM-E%L1G?b?;m#y%?8KKbwXXf-s!wTO~_H5I@f8?F_5Ze0^%q)JKHth+skL09mYq%|8*(RSku0lNTndf zWtJ||180Lsjzg=g$)cNFfSN z`^Btk6*6sY;u6Npq)%TP8XoWVQ)!Z;Za(#(9^+K*l@|vmgr1sPihDXc^fp)<)2C@R zD_J6bK#u1OZd6J85hp}w8=%fOynjJq?7bsy-t;Q3I>oS`kDirz(B**svDobF@rv}` za%$JYty}F~@>*YMFt4rtye}fM_k!CZ_kPh;aQ<KAoP9CnPVW=KoTPV{Jxe}>Lv$7ahViF_eR8h%~y7My2g+GI(#*yPEt^fswYs4< zRb1R?DlkCa)^;t|tjyG%a%MI;z|%izEp`tM<@g5d$t_)+ zOG^u8d;vvVS3*Qp(r@xjScm!gK5=s;fVf*xKg@7qUvf1{#-O>Wu^e-itN@9Pgda8VgBS?yIj7LBXzPWt26qptp>^E+q zrr`WDYEOe>!GQnCk(8cWUE1COCF){mf<9!$pEz7EG@=^bQX;}#?x8$UgIh|*q=41{3j>V6CMCDrU z{@!LK*j!FFL{yGzRk1L0s4R@`q>AqH{4?FbOnLPotLPMhyTTkz@$j{!4j2+|qHS0N6-ioG)w7+Fat=-)08m|zPWwCC~_e+Wh&8w2rtbK0Eut#nCVp$|$qtO|$ZTX>^6MYX|5q?yZ+V;ha>9-826V z*h`Tt@(vY($70i`dM7mr z`vNhm`yEAQr9%OiYZA@vr>+A=F|yv}q;PXz%_{xu2glhE+Q+~~VG z>WolL*9`P4;fYhVQrgK=PSON|BgS-l!Qvwbl?s_*4Mr%?)Pq@rBO^5}PBmrvjZ7<) zD+-2^ZU;@Rr{@O==BP#DzT>;}ZQJc!G>E_Nct1pR_i!%9T(yrjPI7ISk+(upyVYoF ziyuRx@ASy=#1!hdS+ltEd6LXyP}e-6q-JeHnXRL&RfBi8|9WW_4qZZ6zbn5S*tZ(PyGx-GyFG5Dtm{fS66)8Kl9L&jfhLqnka_u%d+eaFtb0tO zWOPX{0cR!Rdj3qA{XX(tyDlc76U$y7FF$-YIMmKIR=v9V#Kn;=VmL=uG9b4yv&TtZ zHWiP2cRmcPE?r#$a$e(_GZj5{tDE3#G_-mhxudAMXu{MGJH6MV0J|*lysuQrMt*%B2eK>$(U0tq6 z_xxPUN`ND4TZ(}J>kdtI+7uv1AFB5-G8y>#{NGp6jIoh|D*^*-`q$_stU!+huwo;A zbUIJ@%mu5`6Aj1JSDye}e_0>MypiLoD_f+m-0YBJ2Je~ks*=7>m5)=)aA3f3uI}Zh zn$O&AB6ro}U%tUaeb8+ldA@P^723=VbmGfHXEJc~b+f{C!QhkJy)$KOn~eNtnRXen zjOxAnTTT$;qW*o#JV(Rln(9d9?Op_WsiIjZ-0fBjexlMImT0x1a*sKZxN_hqvG`@> zz`|A)oM&^)u9{ffuMH_P(c+5~a#RMV)$1#Ix}t<^3)W2Hsg`90T=w~>NEqdL${>`? zHtEGZ3tPN>9UJ58#hQU{)xl}?N6kVyc&~s2t=8RtXKNH81u44%qori1W|6dUs8Yj@ z(z9J6lz_P>+96+^`{uoR49BMBUKX@a47l71`^qL&)+ju)l$HQm7h&Qu!i5}5Ef9!c z@Wo7dt|}^zh@cna@?Ex9YO&!5FszemlpXAhcM*(-#=}@m9X}#dH0R%}4`+qBiMKkY z%ObDPeFG>rw0H;^+#4>mYsZcJEM6TTDfjcCpQB|rS%S&BD+g!+^%{R zj_G29noBgH=kAz?q>V?|p|2zy`mDOuabV4TFQWLS!y?6^Dk)sc2$$4P0*7B1vXfq} zwaH?m!OZgwj4iGhb;aM?Bn)dq*}Pn?d|k-Br4 z_K$`)S6C^wf;>~{OKw=}lZz_rfLj>q*+~_=#9ns5>LuWl!nCQyB{e%h>i1_!_v@-8%I_ zlc*>n@l7bfzJoOK{%96jH!)}QtEuVx!D4XF`{!anv#-C-gf=<+Sb*s)xvt8isPQZm zHRl$#H?`0$jF#6`uak)3CCF>g4T>o`^k!EPTjXj@G9oX`b8@>1mMlkJ?3ln9g-F2eO25P=|Ffe} zAb!v$I3cW0-2?{4K?^Y#5pIN~l1 z&PSbs;U+ov7Z>2)pZ?HE%@U^+0O-=$*HHqK=ttCp%w=9j?b>kimYL^9Ic4htx%XTEDB}}r(}s> z?%U~OJIFaxX%F#|5QaVMyTeko5w#8T=)1Y{ZP!t;>-;5l*eh{iSXiI=WYU0ohqQPr zd{(B?TIE>tfZ4t_H@VMcBM7{|dIv24_|7K5;m-(iIEG>T4q~v6-B8@yC=ZGw5GcaO z;0Y;9h3l|XMM6n<%e=)TSuTo)4U4eg-@6fFE1yroDvc|Ckhbvh$u8Go5)A7`C_wK3 zwA58Kur(`B2khO;(TtaH%D4R^IT;^t43sL_k? zbv%SIfB`Po&-Dqb+W-j~Uw7$c0#LlGz{n||X60$d8@@-95j3>odioVXQE$6(S9GGv z24&o>#u;KlXVr_|0t>lyBI$>Ehq<^=)C>5{m8CwRs#S|t{LWxOtI}8QU~rm1Xg3bo z5P{csF2jN;ZX=^>UThn*%NSMmUFMV3I7?fV*s^9HQ-(}siwmV4vk(I!I5C_?9(t#G zy-XIit8XaS3r^mGF#Q53)cJKaA!|ZNZn#F6S@5~A%6-ru5pHiN78F|}&c|eGO`l

H9zk96p}>7l@mqbHu#27AgHV_VI7*%5#|A5F=>f3*u+Zvx7qM5$ zpG+R@KVA9uWK42_MU;kC!d!f))YBXOX2~{Mu0KI970$^rsG^amn)^YyNkBZ)!m7Lq zKRN*z1nx<{foi%1WMTb*+I{9ovv3Z$0lkW&s^bq{{H&@+;yvOAc6W6mXq)-Ubl|JG zW4sUGd}XFG1yy(1c6BPSw}DiA)!KtAI zGGBJxcNOedkfOu2S*Udk9nHcl`W_Kqoz)u|dvBi)+)z03K!AGBf9HvG2Xz_sN zFBDr*A0)MT$_K_i6Nj|qv4K&)MQcl+p2dgN{Yn%JVg`cT%*el){{QnMle0;rqKbFA zL|Nd__0onEU1FNB3npQ^eYV4Qyxz4Sj> ztGc+4B5=BMD3q9G zFD@uD^;^=$3u>jK(e^7R1iN;u&iH>&^m1uSOH09Ai-yA- zG80}7rpDm0&x(Nq?PlDP{ZsA5u3oP~67r*Yh#2UcH9= z!;I}pmJidu>t)E}WipEBN-r8m5G$`2N^nT_v*C(bFML~MX)!V|_?DFgu}aG=Nd8{p z)n$Z-i;IhY10P&R$+5OUMn^}-Mcu{J)Ko_&brMdX;77~G#>T_LbC0dwsKjx4hDcFU zTM59>zjUs^Y&7%o>ueR*qEZu8rbuC4Z(Eb;n23mourRCDfef!>ugJ!nr^W^b29A!$ z(d;^p^j??GG~le;I)!O-<2IU=agQRrVkwpkd7I42AZhpb`WRbF>7^g$`vwwij+W@3 zlp-)OF~OrVFI>5EEs#uLwIPE9UxJ#9f?{GW&LU;K>AVK(sje9V)8p)n3`6=LA0McM zbR3_l(b$*SkhdWMX4Lq90Pt5jH9dTloSe~!L8B4{MTMmW%I;H!oqCTet=K^kQBjJZ z^><{~2Z0H_q69%3K5ja@{xr!fh1^6zo#StBA7bI&{rP?bFEyi0x%YRRVf(v~^}@LQ z#kY!G5?VHWWtEl3cXa7WO~yjw!c>*sC|OoM5EOKssrQ(jot2c7Y;JCb!{Kop+?3rA ze(S@Z&@ehYX=-9(;>EKP?k7(a7rN>}GEtj8nyqYa?9S7r8!D`8BcHCX=&7M?_<2D~H zbO4uuf|shLrSEVF^3L)3it=Yz-qob4k4MrB0M8{sw zJCCF&1f8RsSNU>!3Vu|r+ZH*5*bi5H=6GGw{WyGJWJJlLSj%d0kvXnxByJttAgZU* zdEnbyA0HoWZS8=70Pv_46%{83n?e>d^^2WdMCpu~Sy`NqByq9vxApk6Wo7$)`U(SL z;!4e?RFvP6l9NLam4**R11X*uypfX&hxPUKot~baogC=v>LMm;*P497xCXgo)1+?T z;1mJRN5W0!KhpA<^b^)0v*K4uUQFrINg8FgXNA&Hh|!wXcLkq4J!v03dPGO3X+P`v z35$S=-+l|E!P5{C5`xDoFD|woFEs`Cq-SJ=IBd@^F$(r{wF!KfU&hO zPvcB~1mEZ4;!-U$b6LwN8_HF$vO4MZ?I&U{U>EjEbL33O|7G1=TXPawUDae%A{F~& zWz0AaIHBq&@7&QT8jX#Or5QCT9UB{4Uti~*cDCw@<*FF1(1q*vviGh$?0fLw;qmIu zAG8J(ZlXo*lv#;NoEt>eNw2MLO0(ddh?H%h_TA*<PExHX0iM;8Bn!biw*nx`uoE| zLn(}F$|%Th-uzi80M-Edm1}C&y&`TWZl7c1N>=mH}p@oQ~E&)asba%Mbe8q5Jsh zQ(SB;6S2gbH*eI`CWsW(EVpL9gH=8eKLKRs$4?(qQc@?>3k_p|ELxhMS5T1C0OQzM z>ZzOgh(kt3R%$X;?L4}jDjN9Y$rCYb(1ec9bZpRS5eA2M#q;ir6zG5r2R5-ZZy>Tes|n4?zsQnKfXO24mg~%&)#dV^*r;LbFQ`Cq?b7k&P9ik@Pn^z z3GO?Hh*h9qL;t1XjZ3No_O)E3f1{$91ly#zDZl+*S5KwY90~;%7D8!_y6~^KjVBc{TXsM>1Z^VQ8J=q9P_{CLxDDL&=-G{fz!>6> zLce_ZB~}X^4LuChjB8TCL7{fa?>>Hj6FbG{{E@W+C_P{v{O+BNPSw3}aVT*w4%a3^ zS{`2Bd&6E+v+pA)DJkKZJTU$#DK8Jbh0kFbY%~_C-?S@VPu7i&YDz(7P89K|G9W1| zEOgsn*Qs^ggEyF4SU|-5nwt86i;IXwox}6wASNazODW?Txd1mab52#2>(Doy=$=HQ z3d+Y^b4O48!k1Sr4xMV~%`XiNgFbwKWCkG@Zm!xd2Tl&RYF+MThXVZU;NTEo0I)fP zLiDVIIbR4a3WDiJiih1VP>|C1_8hu0cv%7r3V*M`$OJ^Aw-9jr;D6v2Wsm_k5AXc^ zd{hz>MWm1^#GyBD-dwtL$;im)%a<>qqG!(X^75UC$^=0t>#>SA<5doj4rdmUyv`=v zHYzcnSqy#a>h7+CzG+>7!j!s6C;OwLW3<+7|LpWQC&yycPnC--wO+f5YUq*r)2B}X zP<_*ZF7yRj4t|AkXJfMoc{DaWd|ERFhB7;Q{&H@IMULig@zC`9h8(J$n3Dl^Lg7pqXbSmjEnK_aAyK) z0uT-@$H~bVqTvJ4Vt?F8PghqLZu7~L7UBJ|h_J9eq$J$9r{~#3ty^kpD(tW*q*IUr zq1X4A0A@avXJ#_+@|LBi(;e&oDN+!{$HBP+$o2sHCXGj-aTmP9@TH+iSL|nQhRXEx z^yFk%@+JYE7kp*yG3f#Je~*?rgrogAT%}nojHD2l9tt-KBMH!}MCd(_C1`T5(>)ax zmF7SKQNRlj6;*T9U#P2-+`i2NEyQm(-_q8m@P*3=Fn9#L!o}E4U2AD+SzI)g0=yM& zCds84ZQ)cd;0_Pa?<4j~opw2lgC5cI6HrNU2?(NZk&y72|6-7EepNj3>>~-`-MhbS ze*uDop!!@za$=bHm2^*POU2YrP3i?!TW61@Z2EZR`T=HE5!e3 z?~bx(*!a}?@(YJS)0o8qEuQR;t}dNYa}_hQCBOGKF*ESaTpx}r{L2P=CvwG}(=$S` zM@iXeTr35fDa%3)f{2Wae3AOB6^h*N-=XQGv$L|Y^78V&eUq1#z5|&Yo}CgDDN0K9 z;G?bJC4+{$abwz+Ds|5N-j%>B3b9;_^z`(iqUVqq$>A;_eo;|Vj}N@Iv=o9T1Y@Gk z^YrN5yG?A;n>TIfC7M;sxKvXjlvMbYWcGrLSjNA7yTOGDfS>bGfkAWNZ8Apn z!k7L7=BHbsUP6Z7@tpQ7%*|nFg0J^qsq&CkN} z)4F`prWxO9VlN>mT}}|ntSqIuaJcb6Jo4C2pYAVRq<%=YkU5|^kk8Uv>09>KX^;P> zbEVG=)SN{clJ9aFeuwIWf3TT}g=Gwo#(Y=YLRXv<;Ezy|qs6I4UkC_Sv9X~51PnY0 z7d}>LXT|#)y0IQWDu{yJiNbD3gjBeEqL7A)%A74*ze^n(NdV_eI4Km%%u)I3#m2z~ zBrYy4YI+_HmX@ntr$b&I3xbo_FfgDFg0$qcHVOvI4R*b{6Uf%h0R+{5jx4BCzv4L+ z-%JRWiRCkU`S|gSsNVSkTpjIgA|f{Rg8MLDC@E74vtB3yl7(@SdTP`eH8(p;Lqn(i z@Vp9s=viwf|2vxEL+fZypLcw^gCIv1Bac1YpB1mwL|tFnM|Op)97XSbQl2T=uSV7) z`IbJGyl~YXT~3!%<3YYy(ph?wr8U_+fqYop+5XVPKYGX^Za1prx$Ey4RQMf)Kk~-H zM99$M=}v);>$4+?-%ZtsB*_S2Jr$FhN|eZU3Yze%*mTCnmwYZkrBYPH1+lTNP8Yf; zazIV*G~eqqr=r3c53%>g>Dk{qQcNr_3 zjg5^B5-P_>D8Nn^`%%7j-e*OC8gO2s==~E0$Od?`pVyLZ5OrWYyJ5fGNZuF(o<8b*o+=n7W=^ZB? z|HB5vJDoA;u$_t@DHcgbODRYZONA!3ik$qV?87~&gegy3Dpyp@GIt9~ba0}qBUB}a zr1cyILo7-N8CoTsBo(C2M^beU<)2S?IgB%&DpjRE_D;J-TqLH+GLHILFuF9j!y$F_ zewRJVXjr0RR}VRCtxYy1Dywu+8buu(9DJR53WfMYy_c7cP6FbQFD9#cL2^L>2juMJ zjM5 zR839I*RNlp`d(aIB&6bya= zBCjqbbBhU}3jJx3Wwy>MR&iBY%rnS1uhh&l=;qKETwLfA5duh;o}i z!hz53O4-gHO+u6>?Wve1?H8ml@-+etVuC0_IyyE~hCnhHDT+8>i0?C&c9K4)#{V3v zZJgusX}W0qJ_dhzJ-zUpY`$g5s{7CR8Pj;RAtumEJ>hPJ@Z!d(8INIvn zbL|j^ggoQ0d(rD*UlCUDH6r=Py~L|l3k04@noOT%D5NGM6E~JOX%)px=5#~|$UW|# z{-SNDQaOs~L=(Vra_Wf_oZPYsY7=xjL8CD4;FhcIGKTG}RzubVw|90F;`z3gGLkT_ zTtQ@HXypy|rApA#(2T)kfD0G^d_d~g*wlDHVH38UtgNELz*4KLtGm0`Ahx%+fByUl z%uh#02jClE3ZFiC5|ggOwU%x+O8x*lfx195(Aa^ElOJ_AELyhCMiB;@+3P%_>m(#l z%My~V&y_rK!fe5h5m;+_VfbutU|=L}m36KRO$(m+lUJ_@kev2UF0i`y#IJ3&F!=|J zJ#NA!gZRW!`;Mw-mAeSL;|%1S;``mZW?}Y$#V7L6Mb)_wlaiugo*QF(I%eM@S;rTR z5usS5is^Q4MJ08Q{awwx)PjdW3B12VXI5Ce$-49!Sx%d?``9e(LBmnd?zde-MitjK zIbYGwRB2&~5xeM1Z_Esw*s{y*U3J3OKY7wgxvIE!1fg}3zfKHvtP9tTZbFzdYRA%z z52l1vS1)uWIJGs;U>z{^TaT_eYI!^S9Oi_|VIr=yJUe^2nLrexuzz;sYhT{^ z4J*wze905qWM8u0*1AnHqsq>*GuoPmPfeh_5^wDb)#=mhC?-Tk+7pw*hX{o<0Y-eVh1ijCZ5E?Q$1DZQjAg>*nJcRv%a ztah6ib8!+9^7PIJX5acDesg$aBp(C_gXVyNp`q8KC1!ie1CYmn>))i)x3$VEEaU`E z14u-uqy?cs5EpMcz@7mDOkDi!TKYI-F;3qCqp8m`c;kEo-BK4O7r5y(?GKUijtoxt zT$-h;&!1z0|HbMg12sTwf?J!yHzVhV}QFFz?^iqaGf`HAGn3dI9AcdzX$un11SEz}_ z#JmmcPjBA{5z7Ahb=nsa$%-E4{H>7xya4B#Dk?^W>faSeM2|m=gx`s~v$6uE;Y!?{ zyK$@kkf7}ougc@P(2HNiI`*@bvTS);TUhGjym9+09c=2XMKx}lKSQ~iMeXVaZjZ1r zDt1odj-HaYG5J?uUt1PrUR5lDPH^j~R9sq6+P>hgczTcNx&)l1=x|EbaO()oDD^`p z(1Ty6g{Dz06%-3e;@JEd(dk*yE@NfOu}_d&|F+`DDCgRgW-PCO&eGH4yMjJ-w7+s2 zIf>viE3;QK>8kqYv3p_fY#v;yTizSe6)iY#7_j@6$jxY|-_+2qMArjl^UU{PAWb@> z+4Hlq4%eOP1%Y#g+{PA{ouALn%zWIbcedS5pLC0eNcs*$=BNE^cQ~oWxw}Bq%GInC z*va`B<&dvQWaC6SJ2xhaQ&y9u0>CaOazY*cTNvv-Vr($LZI0BZ2%_Z9&9J$fAVDTM|- z>%yQPF{|cgW@S|Z4VNJo#|s5Ha3?`&r{d4j%?5{tfDAPS6sYI1HvlaN;g=>2f%uu= z))k4HJ}l>^syawd;T3zRG9TuChP$Qrw@>iZ=Z1?!4$6o|*G1Ck(48igC?EaQSRg=( zXFaKs(Oj@y#YL_mlMwn#y72sr6skGZj!NxS0&nL}MAR{Qu$(H6vbM^UOF9XtX>b2z z^N`S_ubsimV@hL2I3Ws=lRD;}l;iBD&pt$)O{JF-r(r>(#v(SH;}wR6MsRe;azloCj9qdv2-=7UG&zsbGv>Cb z3`SyY8`M)`(40VINDy=c6^c!#Y8O->;8KC8ApsG_+1VM=(W_So1dNpI?Cb|7-Oy(M z)moh%?cL?I1kP6$IK2W?voTP;;WF&+$*;#bF>LfJM0pg>2TfCLnCLyk#l?-|w=K>lQbV#ehr5L6I?W(gzhORU*{Ul0wMYX0pyB zoUQI)8X#~~bo2$GxrP5xtlZ&ft_xVE&BTXZ$>wSqTCZzGgR~xkMz4fqG%K}F?PODO zm1K$zk?E2AHW^|RmKfQAkY0Ms*gki?)G8&_(f^QbB{I%xUlTzgBba8MCFZIk{l@Ng zLgJ$Q66?aBhX`TC(vrtj<7u9eyKj}5K1+$(Grk@Qo|FR9!7jU5QJlywI8JWgC;uca zu!ZuU>hX>?28-1Sx#=`wK%BAyNW z)7kuL!aEtFo~4I5R@G&~#~CII+7IZtxqq3LN*9e-0#mg!-&K8ncANw%3PiIh2x+jb z-NHTh%|?G*;%hL$n1Mku+<>I9u`wb;_H3kC^mm=b?|G}Z373sEtb6VaeUbzq3;@ku zQdG3v^8Tiv(|SCIfw;at?A0_8`}s2u6gxnfHZ(MVoC3pPYrWd-pcWf2Zm95|VeAYE z3XfmL#ef@GG>T^7^Es+{P&C?zi8<9Dr{aPu&%QQf^sudS!xH8kdJ9gR_+;xOuuYZ; zx&!%>K=h}e?VIfRi4q6eZr;0h?;tcyx_8P&q|5Rm4E@_2*M|?!!U?WXh-hnRS(Iu5 z0=7fK&cI{0jpEar9XwtfbIPDgl~{ByL^K`Mdh(Lrixr?ea2 zGj3K^E68gg0BBa(o4LE6q(c3?AbRcsFOrgyh6-)}kYTS?R#AbO3!x&1vk`H;Rst?X zGeg4mjT|4NbzPSL0&mm~)gW~NJ^<0s$zFfbCVKV;xJ5HFvy0F7c>XDd7mG}ydl|uE z7b6aCJ8zM`^`60T*Oip(;Ot;xX5L?&u!=PX8UoQCp%{pG_T(89EhZ)=3-h!0x&^hB zwUu>s*9DTgUls!CNJmSbc1=nScrk^GLq=Ab8_#g4TPKNCFYHjoIJ7^9sMxqm8mb^u z(*wBfYh-*jprx~FmDT(iwTPgTD^h34uRB`)9(=d6v$NVd6TP2X^k^{^)G;?u36M$W zCkxZl)2QY^g%}Q0*>CGOVC*61BcvjzsHp1ZX~q6i#MR=3H0s{WJZtU+J^+YTxO82| z!!*OTVE)Ls=!66vhygk(1H+3q^fg$45%vv_2+YU;W-sj;scm{$Sw+RcRO4#!m%Brr zm1QM}-IaYNE-qV;%mxN707!OT-e(||Pme$z-E6`Q3k$11Xl1XHpMlL!>$;4q_Xf3S zFC-$?Vho`4dgA$Sg8WH>ceFZN&tyHZDY> z!HMawFWdIf|3pdLbD8zQy2rrCc-XGsSp*!guH^{FN0UUC`L982wlyBBg>B&E;d$Be zPFDy+^KZ`mi_$@H2Ub)=LqkW!gKuNA%3dv+WVIt+b$& z%yn6chf8RG8(AIM9#~^XNTua|CgbhZ-3a5 zH^^W4k_oob)xXABv2y4Ddrrht5`QVU-kWFqUhs!078HTjf2ZmeIjcsWno1JIkIB0* zpTUuIVUqj*_{n>xuN<+b_*t1PFUoo~t~iG6HzjgMF-)21R0U`4`C&CuP0?SOiuE&_ z>Fwb5#p0nru zabbu5aZK7034GAykpG~zVNb=8+3T5>UqX%pB7PqmoYJ9}l<+8o=B@%sspY+;x(%xULKp16EH_J?9sZp)rj z{!-L%Ua6&Wlhoox9s)_xNKYnONi!raQq*-xk51?$W_x}iG%)Gpp62>4=RDz4iL*nG z2x#Bh9~tLj4#6d<&1jhnj1sZqzZY!DyX};5oUA2e+O!?Vsf?t3tU_z`Mtd~`S;f}xHdUg#*orqs(KgfCb$dugYpzhIKi@QLCoBF@quU<5r-m3H7SecOA6z-Uc**~#!h z4EZRFNSWpN)}@%TN4H2Y+^58BUYWaSFxPpLjH1O(QaC02jsVqVKS1pM?6C&hAph}d zagPYBaBX6;;X#uXf;>-V%3(B!jy#T{<=_4(jDG%5<-k-OPmE{mDn$eRW)R{9rBhSj zrid&-@KjE~$`rvSKbaq4%4X!YMV(u&?0`}-zdNm!04u5o&ED6j;BIy@SwP@)iOmuHqSpnC-e@E01VCm!AD>x+oDae_3FvYL-9ctw?>)|%Wvd4?sNfD^9e}TeAj)isg z@~)-$5ZYYNHV~~rBM#)1^V8WRjT9f6QlIoMkFYj+{K=zItIqxKbboTP(#}Nj_BTLp zLa?`oTB$Qh%GEfJ`Onn@UMEA<;cO-%+B_9xE&Irfy>T(o_1vs zut;k8x~LO8P}Po4OqgfTzjP@LOUq15mKng>i!LCJ&2qK%koTh>QSXg2 zbZdg99MrzerP(W8v|F2zI8%qc%UCw%qe6lG?f%8d>|^K;(45Jw1Kdq zLL4K%L=CWcyuM3tE^~HI6P9YE7H-PJiX;OsYI*d@+u6%~3CG=IAKgY9uu-`_e7h`g zy5`0mJS299@0@uB!!6bs?WLU*uP&A}uN|qFmQ%aoOQL~MQk+^1#3=)7DPix`5FNww zz1L&Wbc2`I2IwI?9{WJ2fnA3L!%bfpW^$9g_Q^b8I?;hPWq|cS>Z>~;| z;45dAy`EV`%hrV+A$NK+>iLdIE}D|a_YvW*!NnTQQWtx$+D|hvWuKO)Bt64BGNp)B zT>h+&66RyQwIH5(RWrmU!_s#M<%;%9oZqr55>=4h8}u}y*FsC#xhPZ6 zmSo*~?KyVwl%C?+asDQ=GtskOWO^gyXW>kqN9;_Aw`}d~0`W+T94uya+(8y(t6KcZ zYc+}nWE1GuFeP2Zwq$Q*2#f%KvU9#Ik5%pf)fYfOk$61?ghVKy-sOX)S7y?axHSMJ zsfw~Plvi}C4m5KDZc9m37AWNdxhE!M2|siLZ*$zP!$H^A$xJnk%@)kIelN4r@Ji{t zg?8vG(O1IzP@sP6)*hewdG#M}7M zgyMVwQ^^?3qT$o7o1vxe#<2*XL#NE!G+D0tMA@KuN2SCg@DxP2`L4H?XV?Xko)3$tZXo^;`Wm=bm$f`tj>ms=6wtjS0YR{?wo( zz;K=kemIetn=8b$5To&{pJX3n?6xdN2IjKL@HH9*NAz??T}fLn zK2B3-S9~8Ck`Jd@Vh~7KXkdDKehr`gy&1X|iUML-J|@cK%1y)8nrjOP>0*JGJd`Il z4tU%d&Guh6Xqsw1*rbXf4wJvBj$e+M;}uXW?&eSJb*p2uL7CKzHKvA)kG20@Ww4Ef zB7J@&zwD;_;*3TDs#zA*{$64{EG?xk+Qek*c9V=nqFWH+>WhoWls*PZ+J%yi3Zw|x z`zBqimLZ=o5Br?{Ob~p?JMI>HU^QAo3QT;B%g&8ysLc?DZS{LAf@>xH7yIsBH|b4& z1O!lL%=xI4-OSg-s)Up#B%vc&X-%d`O%nGve$ijCN&iM6WhIS4(H9Vea5zw$-@2$r z`QmuU#2H}u@CX@FA#@9r#V3M8jw^$W#n11wR1$RitJ(q_Y<6QD&=1v z(ii#YUn>EtQ7by*JqW{do+(1;i!u#N+O# zNiP#`p6cJ&?@M_U$v95n)a+aOdXUk7CHZ#A+ZQRQs28aAuj@aw4=$DX|KT9yO&{Io zsbD;)Q;+VA>EP$U+rCfmz3E6ZQMnlk3m9q30G^GT{IHh{>-HzyfaCD@_s62AMCl82+XAB;78GCqzvkli*1wO-S~%LrRYJ_G1J=D05RR7aPK#0ZCY}D#Jhh$eaoKkz7}j2L{Oub@r>^ z;w;!P>7pCC>9=a5vp4Au)wi5E{g>L1HU6SyH6 z8w71Sh7*^3!?BtDaX$fl2F1?WRH~1!{sZNvIF4-NS;yy>DVii~WU@c<_#`!FY%;R= zOk`cVHH63eC`UO?ah~IzGtLR-A90MDs~%kb^cgoDB)mBb?lS4W=wh^-mZ(RQHlR=r ztdqPt+b~{$#iY+~FXcc*uS1YqLHfYBg)T|DcnH+yXf_=I=gp~1dl;Ke&G--FZ95qA zw94yXkGhT8{Ruz53*!n1rz=3kl)*ecuum)@)44KO(TWx@qqBEhUvj}ERn zHY=O-n|Ytz*7Z5vR2#nX(2qAQtE&GOE9X*9*2g`C*jQ0RjF?T5q51!N>Lb5faN`Mv zu~09e`Ex6x;I~dRf~8DO7qt=C6SYcA2~{e*)8*no*WRq1Zx8=8)|(^d)4;Mx^gEbEuI|rg&`^dR)oq< z4LJ4fm=C`h3}1#{JtnocF+9L(7pl=QVisTOV+SkET`a?8wAM9~;Pb2<^I{Qv9C1$-g!IAU#ZokWMlE<dH1j^uJ#-po^t`r5N=vt89WGx|zlqJGMzb=Gy60h-^EXqb~2# zlUM(oJ1d2s;-2r;rgV0mTI1YvG_1Fuj5euiFzbDbTszYcsoyF6F3yL*OcNEtNu}1z z_l`qNKF(9)Jl1C#Bg^$9o(Skc-T!#?a*nT;A?~<7>1qHILm*1xP>9B;=kGmADK>MG z>3SzMQGA`*_2tQG^1?#*o%0IFNnt#>$%1p)?k#*UXbPj^y1YLI$Nplx4}yB?y7guD>#+gsd}(1>c8wur}9|E|V`-$F2jiLq&o zlN#?G+#Dk~+;g+%;k`Na+eRu|j-XMex5^k~PrTMr{uXW$ymRb)x-_~h&;L$QcP&Yb zG9JG^uzGoavHbBhcT%>1^i9*#dpf~#x3vifGR&D+eNFUP-SM|6DFPYm%u)UzsYP zGR1IU^u*6%>gh19o55}En+eL!4qVD&7-;{71|}+W#oA(CQ3Xmy9kGb|O2WN@?( z=4!yO40>HP{ec-l(s)Tj<`Z4G)@C zQV<>hSTnqx{Kyx+3={v(Ev>cQlxA2w>!sO+PtRkb>B|>zz~$bH0SfB;>~!W0D8e>9 z!u!9rxg?7;Qv3eJz2AwKhQ+O|*TLxmyw`MGx;!`>mw#2SXLA9cuc%B9kZJB~UEDgIjUVToT*?$XrphV_i;ZE!Sm@Zpzw@z2?g)f z=g7!ht@3qtuftBTIZ?Q+76NU157kH9#Ak}1pwBc*X26x5%40Do3-l&$EBnmA#4H}>c4QtbEZEfM>`#>lESs7xdr^i|ZA`2k=%RXpx>&m$(OD-i~N})Es zu9kOe+bU40=Pc)lJAG&Y>aMyL*A0Q*+|hG&yY3{Ya1=9U~d82 z-Wimi(b3Uu)y`ant}8hJ}Bp1aL+sId*Lq-EQY5>qB3H zsWtsW!$o*FVA@qxR&G(-UmyPs?R8+jO)iyzV;vB8sbZKkU*XnPoI04m!OaW&YMZJO zQ9FD?g42YH*rP{{*(x~~&mCr?Sc0QRCYo*Qk7+Uj0n5vh!jzI{;D+XV{hV9ape?UN`R08{+NWW8uzspF8>!`;Arw8dqbb@~s0#^dAT zFPZ>MjH1UQJulZjzj(S0`4zeqkd`_i%orBy)qY zTL`(^q{seKa$+zXuJvd10P_&dqHzP)>+Eo$4Ys?2Kw{`I9WGCIjS?1iRPDN8koBN2A0)hY z`f$_Zhi}y8zCL>ER>boV@M;33ar*N?k1T9wMHHSc3F^^>aSwXUc=>CJ0`OZ3yZsph z-^JFJ?;D6Iw(!{5D`&&G`T1dj08|}e(b2@+vL}->t5=I`tgIY+xVGQa+;FJ5%$d<} z?pwNvP3E^hRlZ4X>UoPR=JPTFBjKav#g+?-9u=!aor!Mil?ThHw{`8U(?mx7Rv)mV=#%xXNgPk$Eo(tLva)9)(W=?UA|2zkdZWr4&q5W{y z0NVgzCw+*3u1`MTY8sUiIvaXRe_n~GVrs=C;zJb@jIQOaca*B#!TwH~uwO#Ri4>t< zI2sx@wbYYG%8zIVL_2jbO&St5B@SqJG4$!C^skT?~x5(8ralvzAF;w%Rv>X z`A{9RIt8c0fDdgTT~cb1vkb7H9GtUeJmHI+zXia!Nlq%yZAhG7xl#2%l;h4s)|Z^f zL#Yby7x?No4T#+_(|UQX%DVIw{lx1f_Qc+3y2U3vUD5Wj^wGa~mD=mM)LxG*aTW!O zcOJ(j0ly}Sz_7+`k4?It1ga8^moo#3^kcMg4H(uPDoD3eSjR4T;WPo7XpQ65Y?dqF zSDDQ;Y)ws*rFqoBH)B9o;-hLK$kMUC+rPjxbNk>L3x1SIv)3ap(@VAb)UT{G&-`xUgC z2Fxtpo>?KDmg;x8i6+bd?FejReO5kaaSF>e;GL!OpTx66Grd%eazEfB=~KlsOu17v zAWNS{)EF)N-nv1We@RRH;zFZ~zwome#dq207P#M8#VmsD<0^4vTrhO6ViM@$p5x|; zZ?SuyUrFX6yLwsAr`UI{Gvb?AO|=GdW&m#gJX1Uc7mvP`--$9@*(l*F%pd+Edjj5l zqsjl0ZDFFBh$EBApkEY3O{x{-qk&EAKEuR35{>z2&NsfJ6_C1$@o?j}DwujRcxM${ zJ-gobRWS>?`b4S7xH_l)VuxbFKfhm9%+Xy;hbQ1U)Hx$ zwB9iwLnEK+zrR`B`|*VpT-{D=j-2_o^mc+TcM6!OMf9S#zZxZdiMhscsjNwSF=?5h zE#Uw8u%jDEZHP|@=dtYcd3+S6ZmHuJDzKcP*IZsRAeg1$dFH29kN#U9Z#R%lgIoVn z?Nl`ffg@G|0{J9lG%{YYXqN9-+={cy_sWArxHhAj%PNhJm?NtAJcJkHdB{BPURlTI z!J~bs|I~=O?#}0nCR+F% zI+r_eMO=?k``Qf3>+Jwt0Xkh{zu0K{2T`o?An%|ei-r%?+yg8d8oc_n^x@Bhx-C*F z+ZAxvV$S~QZo}kc_HGKakxF5ZGgE9D+60KNY#2rJk@v1s5 zanq%Wdm_GiSc2UFoSz658b4aHOU<9W;>HSibZ@p%U7f;DLZ^gfFAzh@u1ZEiZN@Si z5}3e;lfQvwJgfrmTGLX>C$`O}n~fFR9#)u*#+iN(4U|p$UuAlKKpYT@F|PV9_Xb{0 zrMlVY!(c=;LY9erLMGy`V1T{by}rBRj8S*Z;?fTvibi=pG-i~zGZE7iF^`EO)_OoF zO6P!0`6<~$a~>wqC-#XS*cbjQ>am+r*)*es3qo=(nurYBHQXZpBKpDDOEukYji^?$ zKOYQv{q(82efQV3!{1&Ndx3xwW7m##lQx96^c2Wu@DhK55jVt%2tEY=jGxKovi&{ZKWF{l|NplI{b+de!XsFa8bNFSu6K|~r9L>Rg|r33_|B!*N81wm#A>5y(gx?q&d` z86<{$H|jah`To}PuIF9r{p0t~Gk=`HS+GC%-usU0y6$_risuTIV@&p{x6L7Py2q<-1Fu>I^aq9 zEA+3Y_p=yPCe!Iryx05NiNs7eJ}akHJVKVK3eo3$Vb)tj#1E!hi>)vUDNZcQz!aQ! ze;O!VOS192ujxN=XrfNaOKwJZNfsyVa_~_wugwRNj}V&A_$_T(1H{#AZ%6 z-A09RAgkdxWDtl73!!q#R5tjXilQFe^)A6-JHj zakFd-b8)lUUG7RwW^`W3Eaf}iw`dORidCPk#DY+MeTAAtVxW_<;+MxOc{`)`>I}*` zs05giJ&CcxWm(%LooZ}L1M)BI5rR13FH-K?CP`lR2*|bUdms-7D-UL1wltEv z>%slse8!9R|*d!ouj-Ui0Bx#_@VFa^r;0X3l%V<0Tnv^Vmf54UAbV(DFUn zTRg0or$m>qvmm$7_FsDq@=|;J*x* zsN%C7wcMJ?H%_MD^JJzG{7_!bXzifv=GNfGX`plBOVd|f|G}%^0OQLEOEOnQzv(Q4 zblX27E!AdKGO5FK>(+}xqq%!eFy7kl+_#$;t4`2U?q+bWMXIXx5=Pw$uE8wwGDA7| z{yv8;oQUcP^VwxnckG9}Vvk9wMaK})asx9-udf_4zo(3f<=fqE7UQm|zGtJMTz2Wo zQLbWA!f+m+<5SlKT*`0Rs7;g$4xd)l6Ng*a2F81K@WJaVMW{JDh)pog? zDwVtMHlZ@)Ze~#SD{|wmoUDCO-)1WGSAx^bFv_UH!lV^t(Fi00Vcrobx~HK$Pho|M z*1u<`=lTkl@-gdpbOQY3?s!}+mu_q%yy9okB^k8g0oa)Pvj}up%^Nr`r>WY5W z8yN2yclo_igL|#2>z3!QOk@V#)M3{`#o3}?)BV)kT<>xnCGvRaZLPA%*}0A5_tZ0( zt#UE!q@T{>>l=kw_)@3yq#d-x8`dKxu(2VumY=UXsJ+FOxB1>_{pWoXv$yYqa zU_cvg{$n6guBx7+<>l!fYt%b#KT^E>dx0#FTZ2*>O>4%JgFS;!r!SB{fBe|&vCi7lOuEN(<=QP{2>T5ki;F86xh?h$ zgDgZcoSAo9o=9%?2=iN>8g*)qJE#S{;(I$gVcZHF@dj$*zA+2$*~ znSc)weYcx$LUN0EJ%jC#7-Rx!MT*=<3?tEzSMQ7Wbyw&7FLH8=()cU>V&jdXTcch9rW*sa6+Gnnbp`z6L-`UYKsy z*NpTtF1D@2G~~Nphcvd^wx|zmDo;E)!%{K4p`&teZR-xTX9+`W^tz%EYaUpOHG&%`ipc{^woQri=d5-;|3Zf>5eoF@?b9#&3V6tQgoYVjur zFUXeD%-zs~C@~AfWpZYW0@?r_yBa9;vkK-m9aUcbd?sOkUoT109gW2FxS^Yf$to^A z%(H3wmLDPOlA=(Z+3A6D(3K_njO@ z5jV@u=zLv1wGBGWvIb0iSF&$y1TbEO=94WAgy+qo&_vzKg8*yxqwmcDE6EbY<}Xee zDpUkrUtl7rpiXlSxvJ8^!$Adpk3%+;$H1H_m!USPQbi3udd8(hj|1NSUA+BB1l;U} zMM@ovA3SeQ5NcuC^0IolF6-v@z+tnh_Gs>gpX8`fJdFs5MEeG@tOPhCNUu{!(`_w_ z05tw?=0?q4rfBXBh@W2|MeItB|&;^qu8DvHXL~|+xD<1 z)6dVXb%^eZVx{C#W(Pg%!x{M+019d)s?ndiy5K1cR$UVUmiPK059*!q$kT!Jyzke#rbEHi867zM@FEB0`g;Zk%9|uQKC!Q28q6fpMSr^) zD=GxdR6wdLlg32K#olLyDhV;c_BD7tQ^KZS>MwV%!94cIFAC6b%oc5}rqJ`RZ`LOT z(oEIPDf7*i?&_4^&%aEW^pLcYfSzXoWc`ZiXl1Xotu(&Z^7ZGZ20k9G?>kAgQ4uol zh4$XB=?t2wntHZM0i zrm9+)GSmvI*|-}Le9u;D&-TiW#UCaDNFchau)mHJXo&r6hCtQsmRPG-S3C8JUBI@9 zxq^S(s9hlG6wNPX83mlc zmD^}L%SJ7mUY!)3539R>4^NXgTlB(o3hfP@Y@H`6d)|>atAU##JYOe*N&ZOD^XNx{mlHg}$FsNl z)mFU-pXL3YsJm^<5{k=Yij;}#a?2VbcLXho_(2%Fqs$F@7Fj3jXA>{Pw>D~Zeg?L( z+sn=6|41*}Ol&1V^ry;&*CvX98{6OPKH7`rWq@9z{w{PYuTE@w+I!39BaRk416iGh zW=C9}7=3-2DhZ+@O*AZZzt4#Ap{DE0oFrxvWNfbU_dQ1xN z&@Y$<9T*-)Oxif~`d{*PrDXLVP7;kX7-}fy9RFyb6CXS`c7JFa=ShOC3D#bU|I2s& zo&vC!_Vq#;YRrlqo6{F=vfSHCz>S&@a$b%tdrzLbdb9>C78W@sr4{{Hpuam(!HnFT z{u37x$GSv!^8JjNeG|NRt-0B~l;Nl(#(fcp-{nyiUq=o5URy`>L#Mwm79|g=%o$mT ztlf>XxFm5YAIiu8ziWE!O@2V30%BO+xZ~Sf%mTUds3Cu7l{ zknFU;ReH8BD|=BW7Gwqz36*iOF5$y;M#Q=#7-ty)D_TJu##&wE!30kmxI)6m!a~Y# zPfo4hMz*dFvql|>{TG%(+M>w6EN_gKcGLN^nK zUd#q)4TA`PrEWrHc$2$%rgKx>_tnKOjm=y$Ci{5NuInyLt$(;_Qg)L7E*$MuH- zv_=C+(wh<|`S{EI|F&~OnVBp~SxyeDo02UGMX%OF}#vk`B))i7l|CYZv zzbFyvoD(;2G*ljUL_wWKbgQ=N*C%5@1+i4@5zfn6o~rt>-c|1nSfBIq&s#`QX)k}f>D(_3ipB!5*ojwvS}j`6@tSQV z4F87Ceeyp~mBtR4|C|J2$O#X9Rp2U!VQ_E{c}5?A;V_?fO`|W{CNjH8>s%Ft=iys8F8v z0jj{ri4jj!Jk z$z9s@_fR#U9CQ2hAD~0|oH{vU9~7)C*;RlFSf68+EVjLIli7T>e&KeYaKMYkfSDk0 zl*>aA7@((+u z5Q!!XAr0t=XndMNrgsVdrcRzVxq+3coLs=?tXep}DY=YoQP%^NOmw4Rm&&51=Jw#)Z8Dk?vBVCx>m=OTSc3P@h z2j2j&m_Mw)fa3M_z?OHCOG8N3H$f6E3Qnr%=bTRuRR&_aWQE~H%(HTE7iMi{mTM%M z9@uOB<_jrkbQ~2N4+;-6*Vj$#Wipmkk|SdYXMxtooG9IUp!?B_0a|juD#!QrYk9U- zvP^5CfDf$}?$}GzKnYX1(#q1H06(cgC7C;*AEIsR)a8*|Ox!GViiOHXtRe=sHck!$ zQCHN<-neNVnsP2bF*a=)G?cv}u+2^y`9i zk{ODxNzkq-{`3;TOq)MPH=&X{ZO(k0UY2lH-PetDIZ&$R!<3>>s1RfaBa)8A7tV-r zL61t^cOR=Sk;?9HWrV?BpDN!FrS6(%u|rmyYn_7=a!`t9fkw0JcCM}~i^r@(X*ax& z8ITT4W(ZqY!*q|iU5SA1Y&@LGK#jMcEFe}yATe>gf}84rc~625B2#(Gt)YQc9gRXz zh*cL!>g)TQES_`}X$YarR<3eT#{5>5_|4kjrk~SPg!T}Pa!yX@MAYlL0PaYOvg!_- zFvSVDnv>)>jCfeWr6n7Rr(^5c5|nzwxatMX+Ll-I zN-g9Ypz<-xnP)53XB)H2r(0Vvk0xA9O+((34^emgr5Er0&M=niI`=rVZn0$*-q3+6 z$@{<+pzxDBLU!NdUMJTM#WxBwjZf+ynxJRQ2m6RkOP1*HqLp zxlIorpqJzMY_<5G=H0^kb$*e~L>v%5J(xJg(@7eR@<$<%l_+BPxx?)(!th7tWckuuQ|-=s=sm}$0Jycj$5q6Fs4$%^WK*1n{yw&uFmTIHon+wVdT4GWJCYbt zaV=Nq5cvgFZ*E+|6+5Hvu2&)<$FTh<~n74d<^T59B7S5j|s) zNys~3T0D+XkUOIvkAJ@Ye)RNVwjhW04 z$8IRQD!`zQkfTo5Um!a=ztq zmsb&3*1=b#GsbGVTJ{PSWawjqpb3t~ixji2$4j?p{rO)NsGsZE=4?zvspV`rwx_bJ zB*rMl4-7}aHjzUmH)WLt>8S)P*T*Oy!xE@}d9S}y7|{OxM%3Jw=Tcu&(|O)?@P#!E zZEjw!!TbeLY&ELk)sB$NZOl3Ovgb9(^SQ?Rm>BR1MK3^YrS7Llm52(XLRQIfu z?3%AY^a9OXOmPGQK2)P_NnS1)iW})qk{s)gpgX;*o@M!CV9G_k0m`nu9A^tSbgvh4 zo)!~wf1~PfA(SRQuc#-QGm3x`eme=mb4%=7aQ3=k`!mrSIzvo&9KY9MF1D}b9W{($ zWY;oB!hI0`RtSz1DFwK=>s$;Rznc6O9EU4VMf_=^z#ryj%ZU?Z%U+RDwy%g~uywjSU8Vfa1jR7#CUCman zS=r=0ZK4b&BSFFe3JmZhmRis^6#Y&@py)c5sYHw8`K_}L4o~QZd-IY6= zpx9NN9RVGor^hGY3bEzdNbOz$6rdVrMLD>-60+B4M14M#4_#KYM10Nz7`%Xi9~r|% zf8|Rx;1&_#D2daodN`0@Hmj^1dM9V1x4gNb|4Gr|sILS-+r@lixomWU0Ahk8^rXTv zp`yPlK~mPx37`Iwu8pGY$E@pxMu}=Uvy1;G(ELy`j&^nBrD5$-TkYi@MYv(jQ5`PZ zAN@G)bMt5yV{x|p4IM)B9R7k&bp-T7;BfA)+>!+J*19ai&Lkp~?BOLi@Fl(8l%3~~ z@IaeL>c43dWy@ro5t}?FsvJ6=k699+FsQl*?Ga`_n2zy226EI$K2q0eZz$p4H6!V( zZBcg^&3KIf(2UiUey}F|o3qj#vJR(?(f&OP5F~!Iy}P-|p?BZBYt#QKq@dkS+@_UF zo6nhCQcuNVL=!K6^5- zxm|AU;AI8`eD1o)^y7yf&1Wq16C zhd^dis&QLcFWp5XqZA!Fx&>B_){dEJnjhG0Oq`4-TMVQF!dU+^BVQLGYoZws&fqdf zD+SUSDFR2Lk`fM4YxY7BocdiIP^yx22e=dx@s1Oy@e2= zAL$I<-+z-}v)h(ndqV44tBk zOz$oQUy19QV_7RNZ*u%szZUnuc`NSlah+@Mn|>CF*di~Bb~nXXxbaEa*3#eYncmJx z`_5VqZ}nbr*xV$GLVLY;3ooH7{=6SS-Qd(8NKM*Yn#t@h?R<20;QS*4GbnN4G(8Em z+mf^CW@DM%Nr~LvtO#b%fthkMo;llJw0Up#R9qkc-6HrePA>e~k2 z5`U-B#|5{Oejm?N)p#|3R$}xOx~?QK%y$`ZNy889wk_Pk&fn=#-`uTYjpS~11^vO8 z17>a7odRjR{NX$TpZHSCr5=$|XDh%--5TNr>T*^%YhIF`yyI>V1j`$Ge!8@LK0RQhCw!t4BRrhz)sIG<(twnn7)Jy$)xVKu`pw<_g`q2+pVSf=78G zk;yj7^&d|h(VZpG14ihK`s)-3gssb%x@_U_Rp#Uw;cGR3^-w$xX4tKM=bj*vzf$BvDDO8q;qej907>g)R?yyqh?Yn0Q%Td30j6r}jP z7aEgaI?7ued6MH>#!y+vsWj*(gdsA)Mh2&?3s4)Ofa{RCyP`XfExS8JW`Iaodpr%g zg^n?b_ z?-pR`_xv{yeObQI^iO5&=w~X1e_;wiDAompz_mTqRbv3t*@dx7#3`(w&!AbB9L&>Y zO@{`j(ZZXH$4r9E~=eKS-%qE3!_l$k6 zI$C``KHxAg$GI|lW;b(wRv$xd@S)+j#ti>t|zn#q{tm){mjaxYw1S~@bj7q z^cIuAHBSdj7LBk=2nnOVCSL$vJ}G;NIkGVh91KEP2|lRYTWAP%I+%{-mBL=T4K?9s zz6gN8)7ulj8s|PtuPYK9Jmf(yU~8#cz-lDF!<*nc;CdHL=K^Y1>^w1D`19|^IyzOH zx-ng=#s&AYDH&w3^DF_M{uj3RbifgR>B_)JG~>p`k4@SV+(0OH9&c=K_uAckN+f`djFhw#a-wSY=0cL+q(AMO4-ZoLzV}rtA z*J#({@7rit_H;E9AJnd|c4VuH4Fjn*kJ;JjIVd^^%v5cd<+#$HCU{dixLX3Q_7(9M zM!M5yGsiQF*KWM~+ws1--xQ?R;jR$w-l*BA+$teL z-yZqJT1xBgtP^w9TH%+9mCX2~GfuybKMYDMOu%2$hjSmj62z{oP+l1f`}JHJH&po9 zT_BotL~P1?)<1A%m{fY6^5S1|<#@SxHWbJ*s*lIYFZo^UHpm>+c7XAj@gvr}t! zU{|>OuiK-}PP2&11XCO!#*#p?1J#ue+iabwu}G z)5rsQj-zF*V)5i1j#f8lMCg_QRu~uOQe)#XJJf6cx1;RrbWl=Qen*QJu;KHaQu9x4)vtF~CHfRBH& z_~Q;mx{!h&i=?p$vdhq~i&3S1t*Vp@Pgm^PzOaJK3IWuCzt~UDmK_GUA68yo-`>*HYP13;v= zH`fZw%=**v)I)qWM*5zDmOt97#N>@c#<{}u|2)1ELdj(TfD5;N?C+4`!DcMZ{aTw7 z@%EiGtK9(DlzXFS_?4Gx|Ss1w*1j(zA7aY+X$k)V{gPAACzO&Bxk50c_{*s1^ ztUwSm9*oX4Z`JYFe=Uug3}#@(rHQN&*-n0>a(l4%BJJqsli}@cgJ~ z7t3FicT#_DX@F2VCD|-^5rq0U&nMX^T=Bnq!r_<)PKoQDB z!57c)HMQADC00|*76;NK%6{#QAMDMB2IktH!caVOvJAqB5irTKlces^pFaSc_-}`9 z_zmRr^=Ch4hh6LsGQ=c?6AHo0&lNoL*iA$&qeOsIgo+6wpi^YNmg{^7=4zr!s7GoL ziAY=|6nO&bqXV7uPYsQbvmw2owgPq2HR@~@2b+3ryaQw!0tDxh1zsLG#dP*)Pz_vi}ekp^RPyqb7%UA$A^HnDb0R z#EEF^#}iSZPuWeT%*8(3RgNl&=#CKh=FG|g^SRY{`T@%Ujq6-!FxhDi(XOrb{D?hm zlvgB8m(HpQ~Bv!JRAdswZHp_@Smlf9?nwyJR3U3bh zt(#R44iD`jb1ar_!ehcusfOODUybkNX?PjZli0d+H9tZvvN29vtXCpgp6dtuMblg^ z!@xM&xaRCAQckzpB{&c^E9m&N{CPy}yowJH<{twKAzw8#!Ce5%{(`{9izr`ev!-Z;awAAS zQyC0vDTx#mp(9y^UYNAo8G5wZAaCGtTqLFM^d>j}y0`3f#k&y-r>)YoMKsEx%TNqb zjKv+w^ak+;Q@#TeW_S(O3W;$oA-hZ5K%fxa*HJ|1avrGz0=~nU{q$O=aw^+zxa>#r zm%k=y8=u3OK02o)CRyoha)+synOXnc4V_C|3qGbLd>(H1=&SznVDp&$?Cl>n{>3_7 z>4-{97*KyT)+^UEQp!G!q)A+W{;#iWmWtVas%MAnX0CnwSClV&T_jAL-IP@ZyI(Ng*fs*Ci zBLtd7X3tmMd<9q%BRTb*7T?E;)ugF{W_$NHV`?63YH;Gqikx7sg^0?jnaE=>o^53L z4Ib#K=NrV*o%}UwJiFDpJ3I&sWGMEl{;l8tW0)ywQ&P}Up|FZML#?`oy>CL*wtpB3 zlD`NLwR%|JK>8*gFn4wsamhc}7pIFqIY70bcFcX?=1wV0&1;j(s+N=JI5IO0gjtv*ohiue)11 zvyOjIkcGQ2PIl-W8=wPF>E@1TcQ^`$++s9C1Rw?AjPi*1BLTt6H>P8M++HEsXiX(f zcth~Mj0u)&+b`UBHB6J#UQ_H&cnM#U4P95UR7SfaP?u!Mn4nzHv)ueLIwq@wq7%7$ z!ceEDXbrfzbV<5zBCtQ#TD#ry)TrpuzjjaBKN1|ik|YmbNuugMWFK_b%?|a`M;tIg zXX5iY*d_QdiRQ}aXZJv0^Xq;HRg7i=>4Q&Z;!o=N$nzb-O4CK5}Q8FQrdk3toubB-r`i7DH6K(-+q z!E_oioN-IGoROQwH_j!B{~lXxtf<#Fc&4+UgJuNIh}Z#W1;PQn1`|4K9!Afv)>HzR0IMBKD9m;SX4pz-C8! zBM;A*5O81FeP${|1v9iqISKsOiMR=8TmfT!g`gv|dYy@|8H`rs=H0W^dbtH?9TZ5G zo3*86sb9V;ca!KmoaX(d<-al;?pvh5%g;2KxnEz=AU0XC5QnF}6%0(%jRGO;KWDPQKmXf->c$;5ezf8+m9RVbeQ7W< z27d4V&j7Z@S8c?gElo4;FruMMomb03exZ*lnL6MeYo$Or5E^1%tTJ31UWVeWVj zm=7LE2s{8;fj#JO9yhHaM>4Gpy6Q4dMZ7r(?(c$A%Td7CG$| zKeF~@QgIzR3rOw~85?l+=`uLFTNGzcxpS&+z$`$QkTs{k@BuTB+YhcCl@IuOp$d z_&$HjqKxn7Gzp1JHtf!VvbM=vSv_9D-JKS4YLO=%LJt9nTxyLfY%8d6TED%qF*M5O z`K78j{f&B7b@A~P6DqH(rPO!NgGq))21Z(E>IkXGj_Y?#uukw^Hirs!a^Ly=dYj?v zn2zP(#ljK<+v5MEI+z7I(l0vrZQa*-@$ubPSw{+S?{crSlNew7jCt@pQ15O?DT=0A z?X2|)vl62~jBe>zgG6+lWfO460diOEY&!CC?zFJ;Ze^s#7m5QozBqL)q*Ltk<) zJD_`egNuAZd9-sji+F8BRp<6g0f9&S_nV2~JY;FuI?&XEC(k2OgAmv{ci>J#V!#D# zZRiL51WsJK`MtKWq@}TJOG}>F@h8&>NhXU!q@}4P>?5RPN1NzUC}@i_+Oev2&K90( z6{HzA^odlG83l%$V4=qK_f>8+%Hh`uJpDu{mT;@_MnvSN&9}i4-I90j{P@vD;e(N< z^|_t$IbyyU*|WSHKVCJcuwBu>Yk}|KA$kJT`ET&OqB-G1z=kK~H*U&cSQJe50C*s# zy}{n-U~+c~S0X3`kD~$H-^GjZ%~?yt<&&c1)&AG3Hhz=#X0zgY`W|bXa&lc|78cYJ zJrd_oXFi4}Ix8jx+#LSlrg%Tg%6=NM`#L)}Ue_C`$M5|rVZu*XTD4989iM|LGV-Ik zT3}?=)_cZ>!Og7S1v%KkiW3vr1s14wR3t~L3+}(J;_BN+cbawZMhTMMFpuHfY((@W zvic2cE-g_D-C+F^mkL%19G)M-2#Pws0{capehV%p_o)v2`^a~)^sh=kUO}2f?vM-(^Ezj8H+97h4NLcA zrC~Rxq-$D?rUzB)A$nkNB7%GSa^5`MHiuW4tuC$#q?6W=&?G9xdHxl}yWH%#(%#!*6J6!0 z{$Ib&)ww4hI^4w@z%=s{?r$Dz1jM!DF?4h;B9!msuYOnPNp`q)VE@{&cs2=b zoC=8+tG?ZcU;SYfHfz>|%{M7S2PS1-9V|4|gvnPhI&xgnQI@dtF-I`(gcv`*WTaI`iB1o>CwR#MZziN3ADRlH@z;Sk$~A_*@jfcHPu!YGRsoh+bb>k9k76Q) zV%CHlF~z)oOLXi%4n^E~Cd=n{?)ohecCr`y?XB&&XBV-Eh@fTq`Wip-Ld@C*`sO;* zcmLl1YDIlZPohC(V^1O&Q6_n}av9$Qs&`jj?kB!CDg5!@hwwDoua8v4petAN=Nh?( zW*aG4Bay(1@7HyC6*(t~G9_t-m|Vytaf{)1TJ^8ix#4;)l5|Gzv@=u(^iiZ@>x7W; zIy19t>16E)n9FYWt<%U0<9Ju5zFe)~Do1A#;1+*7?SPZkNg4+*svbOk{5U@+;KOZ3 zW*1zAkB#MaKg&8wciGOlp1HaXG1wh|=)il>gcIz#@OA7i$mgF47dFqQU{^`WN_-nG zJGwo|hG?CiB?vXALr1JnI1M|}r0Zis$V*+U+ld8+luk#awKrWpL82=SbZ>mp{+{=N z@t^u)_U)>Tt+lP$mQf&4NO4C4xqus&rsgugB0Up_%W!JdxaULaPR+A`hSUAKfvFfa zQG#>7VIB^(r?3k{RBHuj$kiyGG!E;W1*uqAG}4dmT&;GIzd^47AsDNTHLA-WbYDWf zIOM^_t!D}d0BHx^OjM@c;Hr8{mRII8;DpB}PlXqepA&@dS9 zNhulNj#t_UJI5$nUAj`@!Dnapgr#X6cqp2(WlQq z;6Zjij8uceQu$*nj;wT7%Jq1_$(Qwh;VWf45DxYT52zu%K0 z&bphIn7XBO*=d|q=it%J$j1s+$=~boTUtPXo6=kLsu>WP+!j5$3%cM;@wYGO(D^>^ z$zSF77Ur&nd`RxyS-eH&giiO^SS8@*@%GeMQqcX!GOLrr59c1ch4%=_IObZ&t?ik) zk3K3<41Kjcd^s8t>+-uS|CQ-DyO;`!e!8~ZH&Xyr!2LBDymVX>&AyU{3AuTv`oMBt z(#VHmZdhBl@;c8-@_7Aps8kDOqv!S`rDV%avLv6l2nMX91FN=ceyr+Um19Ld7BinK zy+uxsW%N^r(*Hz$gyqps(`n&Z{?$}1ip!nRSQ?tVu$LSn-!D7Q-8#8(G^R3VPK7mT zBB7x0-5wP4cRzFA3vx&iv)~ppe(c27c}SWcw4T1sUVV_6>d$KiX${Lr#VUN0eVN#D z@_}g5$4fd7m98B@QH@Axs_m1u!1zEe;OqstfJ48PA^h9TMl>7S!k{u%H22Eqw!`CD?>rk@ z&>0ye_6w+HxpU{nJH6m9yr$Tb<^7{J?;3mZPL!i^^A;0dY)lqY*dRo(J{XqFUFjnn zeu3DiVh8c~LzBu;Cy-VNWHg=w++sh;>>!V~v#PhYx(6~i^iZ%WcV79Jqn;Xkg7F54 zWynbXCqf$h5AmHeZrhtRkijgo+g*uk@(**VOr<;5~-z|1d)Pam<&f~vl0Sd4<=E!(% zoPYSHe(?C4@mH(l8zjhE-lll#0d8|wl*pN{HJk9C_!iSAk^>@MF+J^-QeMpl6%y@1vH|vaLe~-GrQo-rMhW<=K{GT0D!;rKpf#S(gi-BO6NVUr3`$?G_(33l7`wxl)kzY=NIKI>Qoc@;-^nlK%m3h>oMwSTwbAWL~9r5yrQNVAoH4rMO7L%@R4)?rlc?|me1SPswcgW3sp`- zx3knqE!J_k)4#b>SR?4(_Y_0Gy}fnbv((wY%<$`03<2Ad^#aJ}P%PbCt9&K;#RVpz z2?(IqlF5B<0by4tK|667TqSQtXC?>$B`BoW?X#gG5xcgUx>^1Gf8oM>31f$+jftn1fIu$Gp- zRLHcyxTqiu>w3?%4Z6llL1y3QXla22HVrDrW3@pMhb`Q9t`z$j)jhKX5AvA2CUHyw z8Va9D#RC8z9QVO5dMvj~rxF!f672qWmXr0m)rj8^6xjtz&y8naamwvJIUHi{EaIE! z&Pd+&VL#U-AlqIDxi5f-AvfK6S`1Q=-m(E;%pQPrMS7|o};#3Roq;g@go_!-tz zxqyfj=qRd$-{d3y7v> zR}#&IX@Qsd$ar3I5D~ptLg+Qnh6f#amXtDhy$o!MF?QPi>(dk6%&Qdz4bfyk(_BVp zvEde{si`!=wp7)={``@zLsD$hO-Tz2eTALm*+E@gR34q9{-ggjY-?$VRi=X~B;fio ze1K&x9LXLcd*#1;7+Yul-~(KDJSl!Kp)#P@Y-pd#ey%U|=f^iaG=YS8^`3=hc*W01 z_^jf11D@H_6DEEwAEerN zp+?4ut~03pm?7UC{>j(3C&6@pQ3b+Ii*F+RvXirRH;kg#-sb6=mY&{NS;&BzpL|SL z<;$1l+$V-AjhJ17dTQzoIn_banqhQK3gWTjtBa!aRFU(|HyNz_ZI~=F|9LOxvNwx_ zAE^u7(`?>iVcLxilBa5CS9*RG32iy<{to2)wkbZompnd!kkhvV$LBK&MMgk65C!g# zqA#$&Zn{0^7oe+4c)M|P8d6YT`J7!OUOomJE_TAhqRgC^Vi*DL(P2)Kc&G`-N{*32 z>2HL-u(Wy>imh|)YEty{wQE{31EHK(P*KeThT+*WN#E*^S)b2K`kXn3Ea~cgDaWS% zMg#X7bT@t7|UJCu7=b1tm^HZi}OL_rB~+q z=%2h#1K(SyMHJ{KvU+rlG-u8EHZR_Bf0W7&)`v13kq3S29JVT}#_q&IHUy|JUKURH zitKX(-IF=l?{*cR9q0-QYWK*Pm>~a_2_S@YN`^EA1<$9RWjY3tY}2E#c?jcDC!;he zjxZr+|M-Pbp?I<;gq`yjRs$>dgRi^in$kmj->{rSukFU5)Go?mMf*kVxX7Sw4NYWY zIA-&zSp*>M`L#CwE?0OjuAdPWHJjz&xT%fEUFYsAD%_gc~+8tR|rjRHXPCEtT&Qj9d8al46O&}o8H`5_`rB&~u%6$uZ z=br$N$?JVV+9*eG0kS?`%X)F|Xc~{M(Gvu3~wTrzkK`WU?{b9#PHz`hw-((kte39dz<+9g1$+eF**5! zcV92<_Hc7{Qdism&!&^|td6_~hKdTB*!>F*QxxYa(~T7HEo!aw^p{#yCW>FgpczGp0AuzMc&wi=SZd=*kME+Q8kEml)qiCtun{V@?dsY9bg z^*}|B@_M7^mRQLAyL*21&nX^baX2RYle;^ISt{{OGN?Pj-vn#K_tf!pPTHhZ(X|=> z!bs9XOSeRD>NZ?mvD9n36QG8M?RG>AbCo5VNkQTXCxgbd?WMg=XQD(zK>g7~4oa?` zxdd+jEvxO{4t=qycldEa;;$;Pbxh#_6eQ!uzcJBGMUs{Wj~r@Di`}>}7zi#dweT(b z6uRaVpr}dC%I7_ve{gWLK#=4GuN7?#o!{~eFTl5tUX`GE$^cXo$G=G{y*GI%j>J^= zFexZ#(zxB!bpF#=9M~)A6&nA7ME#L!&-*ZT0)$CE6QK{3;=XbFkkI?>eg9PZ6TX$9 znf4+c_PgK;2^rMhHsd$o81@zZ_5|PL?IK8}J26WxSdST(x?fxH5Q^f#g4;=FVi&hA za{A4hqHR9sIt;Ur(ENjB;V6Mw)QQJPp~dPDPL%2^-TDpR6NZDSOD_(l^L2012aOt; zZJSWpA59kf2hrYP2`afa<6V(g^;SRh_9Ht^JG=Gi&SF!nJb;W2HCfpfzN=Qd?lNt= z3wnLDEmCxfkOnDwAndG#go7$Kg|gs%&lZ9u?cRAezcq1cCU899nsX@$&%77hnyuc+$WXSUOE@$hsDp(9HSnwm;=v*^_z$U!U)* z_&^pSgZmK7f1qz_ZX~9-VWG?96AkRhD*pw2=3fV6ahQzX1K$u_hD3j#-ub0=f^2L| zE4ugXy+Dai-PTe^6c^Q&@jp(>SxWNj(Ik{gKvD{A_0d~v?5SW=;&68Rt zvoR`8xj(}ajew5xOplGG(&qjpqA5`FiV>vULHs`g%95Naj0k^g#*`DL~sb4T)GKUe9iTQOEH| zPNR2?j2T(eKDp6L*`4j7+k4gew+JKZ@yEPSa?BQ;5sfBJ{%G^=>?k>h8DJn)A6hp; zf~Fw;yfqL@YVc;EBZfs0cdZ(k0v7%>!)iJ1`U=RQg4_P|-W zw96KCmYvxj0cp5;%!%KWqWb|Rha->eR6-TrDDYe@;sJwCtHT;ZRR50Wjuy;WaQ+UU z*6y1f`+SX#7<`(sMI>d=U)FmX$-)h;PwpglVg=l>GKm!x+7)l|4h%g>i?9NWSWIN_ zGvH#tNl>mwibP+&)I_Zeg(Y>=4&?$jV$Ia@m?}YT6}7lM zS4L6a2X1p)1hAQZQnG3l6^{9JLYutHZ?eC_zUTv8Y7?CJFG zk>nfv+XW`%&L^t5Mwd{Fl<#98xpRBQ^Pi=)dd&f;5j{MxwF;~chz?nN!;LI@#HxlW z`?6_uME|Sh!r}N*gYmY(-wN8B2=C#y(7gh1?D|G~vf?g3AhmhVN zA!2NbeZ*e)SBU0|o5YJs+l;)R@mFY)V~_tXr?3P!S>Jsboo52h1Vx|}INVEla>PR+ za95=3(Sj7%IU@3-yKB#_ADr{?sr=BDSFiRgaMz~^cz-$UR~x-A1n@K%vX}E{RKz3Z zdbX%_F+axkziehV-eC)9X6Mbf2;UY?`b-O)tbrW&FqG%F^~S7TkI16zZSJ9?tTPQI zQCCzT0?Y0Rf=-N2%&VUt1DrChf#sgK6GcneyzAwsRu2g&1uazS6xa9Gs(G`+-!3oUzKhr z=~>(4QgbvKwMc4Cb4BA`hAA*ce`5hU#6}ks#YYGm)lVg^!Na4_>_5Y!_lW1`QBRTN zQ@K$JE@OrtYjXG#>MgBN0H1e-uo!OK+=mQGv2QhAML!Fc|BilI&Rm>>FiKnwR1ZlVm?d|O@ zCie+ndkRP&Sa4JX_!gEjf6NVJA9}ZeFXWE<9Cqf=`dk)NEUg1mw?Rejl8A)PG%1Md zIk)ZPNFniwB#R*|!s@CbP5cm!tQ(u=yYyBRjf|0qG-^8P+{dl(p-X+QtO=n5N;|=> z5P&?%c@CSI2ycY=z^5_r4ty1AT?Dt$g^zsABwpWY@CNIxlQ(YX=yc8OS&WDV6^<|v z@k6MBj~4CN04&BsQcq#tdbj5)ZH=k@8%6p; znri6v^iJ1p5s>8kfzLn}1T$5%S*BY$=PR}GY#zELu#h4?Qe=3<*8UiF#1q)V=)&lA z!W;cCu-yGHcG51M6Tyfbz4M{ttrmWpfJmZAJNKOYeR>{1+|Mt{H3uJ+tSY5WaMqC-?TkE^;(K@2&>F?ef(cs=%SdKHe>*JVRdG4^hvea{HRb4eNE3oX* zV#D`~n{WU2i+kw^r0A9eT#0jQC?5%TO-7v`4CkpJahTr(#(5ApG32~l=AUBT{Sivx zCRR?J5wd&3*9|KTw5^LB7KNH!$S*MoSF_Y zH|9HkmM?TH^rg$GNf|Ptps=XaujHfA?b}5Q#yFpJc!FS7e`A@&?&|l&9;ZVZ+sSJM zPW)jEafaDBD&?WV=RHpR_FU~zWAN4~2m@$1k#zCar85ObtBK&82MaI^C4{zHHjio# zKfG?a!=91plk#+FursRpCvemAs);^T#qZl-xpxaM1l?z`#463ddF7g`=Xr@^EUQ9t zL}AO?;5@3ZFocwQaU|^2Nxx(I?4a}RAK_GCj|cp@U-Xt=IP6YOIwCcv?o4GJ*xU0H zvnjU}>?&*!!OhOSF*ToAovy^Rf{#T8ofe`3(!}%K85N^ZZ@a0U1oI>vEH}mT&s%Pk zKhD?qR&0;?-TC0Cr24{z#|$<4K?1P`q_unD&;sxZ_MsZp5DmNcOMRkIz2c`SEawM5 z=wCULfv{M%|MJBz#fgkN4O3o^M3Fo_5yt%d?S#L^Q)njb57o|}pEw&f2mU;$^@d`b zJVr@!o;P+oeHT#0?h0cKn$;Xa2yUFIJYRU;fLfs8;LDK6k>q;H>5l5j{8)o3$q6x8 z2K&C%Mpu7U|Dftd|AqZisDMrky&gmwD-CP-4Bz%tKd_$hE+{R0Wji8nA$t;Ko>fq>}vgNmGUO%F_<;PPz3DVutF){|{FloI^dXTGT z(ELRtfx-dKK*2rV$g&S`K-D=(q|^Fw`oh$jWr?Kt%!{1Qs`WH@e0iYekbuJ~^Qduq zTxVs_&Hhtc`$)xrQ;idUuu${V#k!iBT06ds7#W0_-+)7JiL&9{p5Z63T_!8o(jRZy zw#al&*S#I{YDv)uJh5`pt#(vUXb{CYiVP-iDe6Y-NbH=j1xDaOY0aTXi<|DJPYpF> zM2MSD?doamq3JaL(dYIO;NNZQK2X<^T7*PiuzEZ~s`iIrS1>hKO=u$j0L0T)$rYnj z!LOkZo7L~;T$F$Q+$_T2C1%9}i{-Mz|C< ziK8Cd?J?ecCOt!n->stYyD0U>aCO9Ihef$Em3}^$3|@^jKAcAK^K;4>1i|?@LgtQ( zgda;pA}d=KR#@Vw+rq0)q23=cp&W0#Ob5wh;#AKN4^~>_9=YyUG9V-9vpUk>ICDwEmq)#^`;ddX@!gj0S$I@ z!KFEYBX*|Kwdb#3-oo-gS@6Zv5-kLo2TP9pCSVZldSO7>Qx=D|2rB_Es;Zr?0*oo$oc(;aWQ zxV6%AODl40eIhGo$J+V66@VM*md3CE_l2l@^ubO!G1<*AvjOBxq~N9}w7!waFizF? zj;NZCZlYY&L;P`K&V-e+3boM1`myNR>c`f58G{m+FqshntI129hMa7A66#5n>3*#% zT%7BbmyL{=NUL8w0CPm1vPe?e34Qc1w6K(m_rP=SJ?3>g1R@nP5ayCd0ZpNM%#-_p zauYK{3Kn7MRbdmv6fRNSLp!Y1QvNDMM@xGSkWfgfGAi`Kyo><$ok1#Zp@Md!0pd-| z!=&$QAwE8bF#ikBj4I%R_l(rlgGOF%3eQZ5FxXvhuD?!X{3PI0Nn{Dn{<@y>^h?#V z6SQahN-r9FQmj_yrKY!lC}Bv$ScV>W)^RYslh#39m^p8}Z3QTwmNt^8E@?(<5&6re zt5!`@>|FcNcbUaL@2OL-xppMQh={GqshO?l;35P26O;8laypk)<@0@B>BMho*x=Wp zoLpA1!E_zuF8+Pi&-j$OS<_U|`>q@fMufYV+S&f+xwpSn2|hTY)B3(W_d*esrF`qj z;K_Bo+IRHss3S_468A|*g>GDX1h`dQL77=4X-)$-A4EC#h6LTVxssR06%2VvhE7U&!O;gglX_K(LuaS-t)xq1dO)iUmnX@>=uKOXE zMODq2eduzPZ+cXxO2ITWt`(lLa3EJG*hJ-qbSEIf<@Tj;E~A{^?&V)7x5zTX?8xQ8@AD=a0B@Hv{-}Q=h(b=k_AGowTd^Tx$?Y}c7#&9j`4j0L@+?gz zR0zDYTw^OprTl}%b#(k2;wpTez}gTA>}&eyv#X8^k15K)g0L`P`nZKqSxuYy6uML| zPUl4nP-+$+(g36lAFQoy>SUz-t|VWdrBH{-AlPzu9rTO-G1hwx&vb$P* z`js{V!5ovsM%dJ%LjKyqGK_m1r@5Rs_Zyd?qj!0@UZV;*h9-3fkXBthfPORVACy;> ze1sqsxp};z#B-ez8Vd`N7UiIZ3Qo#!zy-8uKzH)DcuoW@@iV5@*-J31D zZ~g4_oI1UoUxm2Y#vDL3F#u6K^hA_JEiNFfp?vucR4`q}pviAK+<0(v_%#8+X1_wN zI;N~zdw?(Ag)|_BUZvXtl`dU)fGN#aZJ`(REEi15I3w5Er?m4P)PaD}z=RF? z$$rmho0^#%-m*@!bTLqc{t_o*PcIpyI9eR%ci8_n+Rx-x{Fe0LOTr=p+qBi$fS~Sg zwq`~~c8kewo-0E?w`4VCY)TN7d5$*<-d;WI2wkbg4M zjE!?{ue3E-eqm(%I?qE{9wJ2%)dSm(uH8ye^ASUf#-qGx8GT9BV&TW<>7Z4kvZ zs&Id>DaD6k`AMxco`pT3D86~7mwrboHsQHrWqH9Tx1EJ@rxDs|dJu#Et1bi+ulge?N#1zNE4Y7;@|*xqX)srIYkunKxk zPUm~O#>c0^bwEqGEiqMpe#URt@ z3RShv)^|2MQEVuwPKVmki2?c8cr2q3#g_1UQ8RY}4%9TT*R-Gu=H8mKZBUalZq84X zyE)8CWuIY!8Eo^%m_1G3n^@>9KkkWP;ca~D%izS4PO_<>*irzILF7UGe+43Q3hCzC zbPL@(qsG7HHAcSm$&Q~3Q^HvxiA=ZQ?-%&1Jq;8AQw3t!Nf}6N^ z8&=HK7jh+eq8!|X1JHWj54{X{loLclsk$No-hWx_2{6h5@nRBZ&~U;Ix@U2 z=3^=MFiXsdBoUBoG@h=p8aX_6>doPq<)DSn?9yA;pnf1fB-*)&f;=T)iX*d2QXUj`(OWquB&V$tXQ5B zw$t!bGn*Uc939Kb=k>1IHJe)KO3TIwZdtFFB1Td^e_ePYd~lo1<4h5O<@zj}%c~xk zv>&bPv*+UMFP$6V^f!CMeFU3;hV3_zsW4nur^A_aXrfhC(8H7z=d;m8@V%2?$0skTyJyB88Z~h*0yt z>zt8yf?0*h+fuZ23BmX+K1^049Pg)iPmxgo)+27P_- z+P^OXP|gzRPqmoe1}|_UUU`QVrEH!|RTa{eIA^TM9mc8*wI1TMx?^u& z68Q>-faH?^oFSD^`m1?i>J{dncpn-wtEfOmMqX7QYmu;AQQ7-Msz8ABN8FDq z^lz?JH{Y&7`ClXs*jgm>nQOe`&}314JE^xBS206Zqjgvf#PH^CMdR=ynBROylJiHR z1OBv`>+3dx?QOe5?fq5GFl!IRAc`3%Le0s9SfnQGhw_2{Qg?+}F^hYH|5>eoz>PWO zQc1diHwN-r{)!oS=z;+FZ{D4;=eSNYxp_&)r*?5ckq91eKLws4%zX&awTG0{d2_Tc zg(s45?eBxv(@IC-y&;1o6y~{!ZjP4Hq8_H=PfE zEN#PZosZNKnfiC}3Qvv!p%a#iqa;A4{rX;Y;o>6(WB=X{%)HR(0`f#r_JKhzYbGHF z^NnhR_pF6K;CVLKR+beUcp>W);o*M?)k!4~a$kUpA+RXP7(TZ{9X7xTRsH;gusR^q{u8&fV#p|74%jFjOyS zW`8dKa$}2Wle}*f>eBX!h^L`6fz8*aEbMn+7&a*K6U3UEzrudaaq45aUO4)Qy)OnL zmu4)7eL7)qy+a2%PIa<3T<#X6J89M3BePu|67sq2U1IGQIjQ&FT6kb+YVJkY0f_t24UN+pCg60#6Cku1^=YQltPiy zw_MB3Y1nJAHkWf)UcFJOqnD`q;-+m5%dd)SANumMVg-VM>0Fn~ZE)D8X6{`MLeZ-N_mICBs0ia8@_|m@t%LL<=uk{pPHF>0W&HpYvn?WHE8E36$ z=N$GWy)jJA3yL$v2+341dH0TlKeWj|>x5SL~G`LHgByKL5d744<_d8F^M}cj|Fy@M5Uw_C~mFhG;GfF4*&$%92g#Mm5gZk zOq=D#QtTfUnTAy)h?8Ex)i()dhpbHe{0?^&(9hI3b;Y6df?jnWX?$6-hdWQcYgk^V zc6ulmVm5FLBG5%TC{)4ax+{verFZG?up6ulcJbfN&5g-oXPGf*?v7{k_9>HDh5^(@ z(yS>Fy5*8jUsJ`RLW_ufV_*dp@H`FXvbyuol+AX$u5v?UG3VZ8RJR14>`>p%%(Hzl zqFLa^khEJJ&l5Ox9!bjWBz61Qr!3#X*FdK~&KE?zkmx9|5Do3sXK8qM%5fv4K)x2X z%Xa~|qfprd5M;!7o}kebLc1*S!0|TAm5w(tIl|)4pBWw*xBJR+dG>k zYA`+XuU~XIzk2n~g-?VWWYyOkN2>2zTmPCzO|89fSzal(3-Lm|EmP9LNi)}B4(M-j zir*Vwj%UGI$)`OI-kG$$nD&Y0i6;bj9OR#=>Tos+XY3@n_WwpX%dK~pq?l+e%#~me zDU@MP&BtS$=tVkn0ap}oj;Z0&qy+CU?B}qoIXv$_2CW}7jSNW&4=DFi;qgb%EC_H> z>9Dh=U>mT3t`(+Sx(Yh|BUpRk5k!PsWSD0<`w`&r5b3Y+Y;HcOUaXrpPi=K{p6P8) zZQgt<@o*mW9e9PV!zdf(61v-7FVn9S%RW{Tycw7`X|r@O1<~Wyx@-bI19z8Hs)i;7ov2ysH=EbC}0{`I0b%M-AXMTwD?!euwQz zU{{KTk+YYt(B9ucG?=5F4&%+lCAqPte)_Y=vjyrFgyKmjY*$nKk&Xs73D_gW)35Z+ z62ea;VRFjvn<>Ij&SW9H)wZ$ehn4nB#rKQGX}!;7WiFUA6cbxH|ku zF_Sq%U6EYEMA5s?>w0)3Dsn*;OdA#^79}A@hYzGOvzJ6e8T7Z{7%(YZ1M0RGo1gZw z;#3o57nUe7(wEIp6ytsm~f+&N44lC{VNZL+}I{ewXf*V%-=gQbQFYXYKX zMdBOZ@7}wY`%2<@;8E|%Yc5y@jlqK?p1@I6Nh!uVTHoQ-HiBN9lbmO(1x%B7b zwn^+GX9wUwfz8`JRnI7YZz#0a0DpSjW+Oe9<*lDM!)$WblDdOPQVh%ex7U`wJSK+5 za=bSgTO8xnse4Sfg?DDDj%qL)tj+p&{u&|)>R;k#gWJZ&{`>EwFWSX%D6~%PERQY& zH@dLEO^jLCCyM(2QnspU*?jE3YqvTir(ESA#xFI1&Izp@6ngJ2hdvf`xbW^>2+8l% zmy(!OnBN`1)DSckKUtlXHIwY^)Xx6uldaiQ`vd1UhMY;7HE*$tP;?E@f*E7J3hVsY zaz%MDzvmwR=d!PU1VEZmf_C;lmOv=2JV+7bua=ToH%&_ATkFBQEuBcV>xb8}^LPx>fc~5Ee%ZML$ex}j1-A+5 zCZ5N!D?`I%V!Ijs)p$EO9&H7G?*@@eB@OMp<&UK41ixva5MZyE8EH`pL=yTccRe0( ztJn3)9R%48`i^FugAMXHLc5F0 zx3V{4wSNXbC(y6c46ST=mi9Z-F%-g+s4(W}koYUiS!zFmhS2Dip{RG5&kEg+u^QrY zbInGpl55BL&z_uFK8A6r6EIT@f0aF=>4(ZIM^!mHETrIuV8I1zaHB{!r`NzVj8JP1 z`0UV--$pS+JQ873n1apIN0;Rzf9TnO<7) zOS2ymuBZr8E)>hDwYP>yM?K+EmbjK7{rJk*Un{*l5Fpeg0G_lki~o{TI$rD09N-|% z5A*YRzv%ENf7|T*#?z7R zvSWw9IC5>VmtH+7LLqo zP07kD{7yG{)@twt49W^HpyR!1h^S{a_O1f|R#eau$*?qh`dhN;LkHA5u(n1LRahe} z4)~XpWWK{Vmf1e2Y59Nx5=&Bow|k|Oc$TeDyCW{trHq)^=2ulf=-n}LR!98DWubJ( zady;qA4$Zk*`BX0A1*};CoLOkeid@;u*3fW(?U1?`!b)$0jX}4;Ch9B!u^ys#uv}( z;PKsrNA8HBq&+5VL26|mqcl+8ubP|^sOTQ-w^H&0l?{iofDTMH5bJg)yRwsW#@EGeFlobLid&Yj8AR`i8DtFuiO9GPd5pXlrv;rlf*wTQF7Tj z+?K*}XRrOnSSh^`XiH^r;rjH%mV}9U;%;!+uRpVTMWm$>9~gth{E8t)a}1X7_gRvB zxwQR)cX@xz`IeycANpu=wM2gYk2al202`bO0+|$@=iAY5hO5Jc(orIGoh19k_e%8U zge>>$C|0t_y-L~k!|Q&^#j)*ktdgTXd736Z^mr$5cUr=z#gyoohhu2jr6H{~g)6S; zMjjmd)Hok4-l8~t3bMF#^*~5$WW*-?QB;SIbLa#_9rjOB*g@DVGI!bC1J0JEtW4P zR`r)>jh-*rjg!wu-H+Oo#BN;8CxU)y$4>Q z`!F>9V(ZV;3Z&hQVC_RHO4I6y*DZiTs7VB25Knsgc*$4jOV@|5NllxU-AMFIDL8E# zeK(BQbZxBi(l-0}45dGhi)Ry=kNpSiqtrUKQp`n{F4w;vEcE0Nf{akpXfXtKqQtDf zIlUOsTQ@_c`j`AJIXn*yXEV+%ILW8T9H-`99CoZSi!${;>Gi^9xDnF->B`{Ed=heW zic;8vNqzpq87I$mA?PBr9M8xQZTv~C=cr&T?jkcQj@&2W;nkPirKtF(=#fmr1sII6jd2B^F_^9 zdaW<&guI0|hJ;Kd$7}8-nZ=USFA9orN*;U~+aFW+AI?^{j(C`r*T0?0<|ke+im9yr zsXo8t0m7pfAIGPtxx>c4k4t#zikP;Z41`QNu7mr$?%UqxT>!_30clmU=VuXy_>aZK zsU8!ReeK=C-8Y^>DD3IjYlh=9@R5{T8Uzk;1+mDrIdb0@j@V;S|I&lx5TXps1o8sn zbFq(Mh1+$SUc%G&m~Reyu#55ss{|8U97Aa8n@O6AADc6RTgal`AZDGb0_YiN`ttx% zQLgV>Ejx=TOYsNe4QWxm`P6+G+-4e<*AfV!$M-YcaS#hQ`|=!xbG=;A^|+lrMj_-X z61?z!nR7VY0?xsaV(%p5iOWv~M`n2v_j2Zki+xx~{JEHND{(I-lwEy7vx^mlemmpj}Hrm&^_M4?kVoNAG`+SC1L!Q zi(|yozrs|Cye2ADzWKZkk}$~0o^2u?DGmOjMoPYnI^bJtU&X+*C4Oh=+bt@2EUn_t zp9BJ^z%^T|qfMo?6XS%1d!Zn0EjuSpNk%9_^Ni_OCFz$vYG#FhEZL;pElR&w!5H<* z-aa`Lgq6X2-K-?01#|1e^4bWwPDmB>j6)4LfYlK^HFqdp^8OAkKWd;(kHV~_o;x4p zwV+iiF<;Kw-RM5tIz8%kn#J#lQPT`0zZ#%aX)Q3AXo}uHgI=E5g~j1^W&%4u4hU$`}f>#PVXcwl#KN*l_Rilsh83c5JB5`KdH$RqYGTT z95^4nPS(hrFRRhToX_zFSJx%_l?4ipP=EZF%@aA(e#0%Dt_PL&#TBviQlGiWp@5*m zs1g-VfI<|RF|r#hyXDlMKStr!=djdIrUxYY$rO&PMk2QU;|D865mwfiN3j}@9@5tR z4Ca0ZvT{GDntGxXY+qlD>_-teNmN8(FBSH(o{KnbafO`D62G#ie~7zToNux6GZz4lDVnVfyx& zOaTgA*j=6yxfi)tlZ(b05lQ*W9SzFQlB#7Nbzw(lUd$$Ls3d(6MtZ?Hq=T;AmkCY3 zJ+fB1Q{GKzf}WfjEx@Namc8Ft@FdujU)_O;-rDRKiZ)!vDU_@MD>bw4tKPHN{wh_4 zhOc@{b3g^N9=}&}u(h0HLO{sOBSRGm z56SWvZ_d)dFHFtWV?A+BeF*dD`57|8$pLXzS!U7FMBMT5F1L`vyQIAlhl&c%7f-Wq zwk`(}o)_|48#N{&s9H^YFd8`jtp#Yq+nA3}MMndUl{JJjSEJf)oxiOuR-vuk{`@F6 zN$z6d0`T8UbBG&HSu3p4UMLmWu$t9;udoIeyIIa3)I=Ta0B>-JjmqicK~;vHoQ3|5 zSSUf~eKD-g`0u4P1KYO#KZAbyGW1q=x`T+l{oYH(Jl(|~Y*{I)O5>2hO$hJha(Z2RWGeW*XN&>^8>F&8<#4o3CViXxty_;Cqp4xd06v{SJvFxW$R7 z^^$UR@g(lUm)yoNzS)2(1#Av~&RF3*$o_O{6726=h;sxFpLItim>3@SI7 zy577z-&88_gw#Vxy59W$ci^HtaD-~aTB4)f)JZg_`(uA>o9pxvp$;BQDN3Og%7!30 zClvdc9=+?KsA5}{{pk#j;MrYpnI!RmL@3=7uA<(qWN0d%#YcPF{*>F>tBE>}p0l!? z3s89$el$=|lep; zQ}*H|9K}L#NE+aevlakP8#QKhY9on+f2WTW8rqK_6{rm>D^wr>fklF{sQ>CiOYk8E z$OC)?{q=om;HK7c|NNInKdNwD=ECB>0E&X$w99;}seh?8)i6;!)Zv?xtJIz0kcT}#Jvd&{Me@PAFvo5$v^+IY|y8N(d~iEE87X)V?s?QRN+D|s@$lU2bAOlnDq#c( z6MeKQa=Cfd++yv0n(|lJq=i-3QA^O08#LO5^sK2blvJm=R`^y-2Ms` zcwx=VMSp{W=R^c}Te5HzIyaF+1;U$VX34-}1#?%YkzFp>Ci9+5 zYLEpsOIF`p^FwT_<7}Hq+%So+@K!B@Ba7rSRu12;Sj6P3!zOBKo^0;`;pJ$As=od= zt9G73IW%0UK4x>ulkl(01@soIpU?K*i%TCqXnHcT>J2bG32c*OVbne}SIk2muD(w>CVAWbWNlG! z`L4wqyvAf~`HXE*HtUa?pQ$?MeF^3j(CHa}8f-GX>N85bKRqYXUb)HzO3!0s23Z`} z$w_#yrqiM_GpCD(_)g8w_e&Qqn+SG<)PT4de~z23UXszs!Yr8ub?!&w3uXJG^WlKC z;r7xEy>Sajn%pvy=BsuVhk|#y4F#9oS5o}GxF~E!fJ)%MRjTJedMyXu?z9`cHdrTG-tU3-#D&;MEd_Jd(DPn&^~! zdhcv(PL#W%3K4u&o>vQpMWT%e8a%Ts+xB7@0dwr7GHx|l;&^ol(e&X@m9HK`j&I&f z_dMl4KeXy9e4Ul^x|>QdAKb3l2MTPP@4S0=bK0H4#ZJHi3}EhbC$CCnO3)LjDpwWsvQpPskhyH~aK#0qOg_PXkvPlJ7PGB((Sccgn#MlRi* zWPMG~6G#~zRomo?DhN=2Yz^e6M`=1ogxpeN&ejyxaHZ55U}_GQVW6@h(L8^rSTb21 z!{u2~vFPp0l;bY_k~>`0$9XeM(x_937aYzMa9 zU!qHN@C)q_Uzc(Ai_*|plFuvmQjqq&v;3_u`aZE%H&t7E3XYOub5{ZopIRF!Qqo3Q zD&1OfTJ&ouZ~8AaXPJ6GVE$Cvfzv@NBJ!&dVagLdleGbV1!?VOtmWmh!`a;DPbum? zpe&UQ80YuYx*DSiT{xAP1?PzZ<{*&8y3pB<`;UWv13wvJf>WldRzZXm=KNpj zaog+Gzd}}rd#VILHo`bKRBUPBWW!**Z2k*F{Ev4BfPX7usK7q*!YzqjtTy;}-P_YQ zckVYlqe*rPN!cB3r$zYPu(nE^h8+#1-||>guxq?Q(({ZY7lHOD%YL~|es7!wUj`C^ zaG*fyoNXrWTLRf=rWDnV;Ic4q)2S6@e4`NEzpb}z0irS{kyr=!y?1mw^M!ht0O!6A z-m1e%w*@Dn>paQc>3V%)W3#T?MRC0UoFRx*)LE#~7Urrmkk1b$XT<|+m7+8w*!6zk zXjT?YLO$L;W3lHiTO~l_mPX>=Fc2t8Nhe`3pYZu<5ddis-x40f&8Nh&0BQl;@`n-_ z|KlCwtsX8KKBzAP$RWxL5^_|oj>VVh7`>}2KG?Ac?#RMq2j?3I*j@VhV@lSrB`y#S zGvJ?N?nfEt&-vUsJa9EwAJ2XM^5yAv6dm5u5OY3|#Kv1IT6+27+aDX2mDq40L82dV+&H6s%3`98A{nnJf?YF$5&0j4hT&wItr^Id z94l3RsW>x>%N6jCPKmIwMxk5!afAOI$333Stc=4=?^`A76Dtj90Qu8pUB{*ghmX2^ zE*P=@%ez!s^bhk&Caj`fx@i#VBlI}lB3pLjA#i!tPEgqGG;Wwx58dVj*yQoY|H;1I z>$tbpeo#B*Sqz&Psq4w#a+q&Qcn6W2PA>=>jPYb=+pQMnJ_py{7zj&=BGn$EXda3P zSTtj~oEZa!oV%t%lQ-oiyhUg_TeD5->L^W8<~LJL{^O3XlndMO5xlVV)>MyoEzq@< zwwwWCDZH{Q9;$Z)-CnA;WxoVh7Dgyv&Xj8fj$p1%$$d&-H{Hs$u|6DqUKP;ADX< z9wSfSYbOGrI#jVM&tnFTSwy4$wb_8+g++rNtI7E1Xb6H} zcK<-30Zxt*xD&o9SN^>yIx$OYwgImB_IChy*C$w18#!AbFJ5lV`mc_)41Niq9Gkt< zlv{)`e*!!(9ROh9JOG|b4+IgPC!hLz=1Zp{KeQW=;Z3BP&D&zN6SBi5x+C}4XN7j(S*S;8pS zLWv0$1-f~^+}nxR;w;z}R-HNEhh;%>z$a!$LoXqpd$srVcKH zT)to5Vf~|z^_}k46Bx@853)M#7kcuk0p`zs3CT$6Iyi+&; zUo6)}sbK3J)^SfujT3CC#=xnO43_ghO4*^`8H)6;SK1c6xzR!q)}{Ee$)EkJ8MRs| zmkkSa@Jj-b52GErb`3;PQiT*S1IGkBCcw5XP9-5Al`Ybq?`BvfjvFvOc@T3WGY803 zk9TKsiw@g0KjVykd!^7oZYI`J;NbKlJ0qzUtO))qZquRS)3nlh-WOC@S}3@~vHEm5 zCxBu^l{GdojpRtT`F6D5Z2)jyz5?EfLlU*xTL^3#l z(5^(afBGxI3J)-}iFQn`8_9=>Jb7FHn{@4YDM%AD(A0}{3lp4W58Ilt=k+95cbLbq5uI2ba}+# z_(&==HTw6&&cH;wTZ3_cU`{Xi0-9%!_(VzL`*Ys8iIvt{BZVpU)>j)xUk=bgmRWSF zHOS~c11M3*@$3-*E`D> zwp(xYSexS=Anxw&07J(K_$4@ZCipzIpTg)`_sDM7{iX-<3J+gncnD+mO>dRh1Y&5h zD&ul?_qT?0#I;1SRvq02DYa~q5M8PGaF3w_hbC{ao)EIx~f_^zXS)2^--mn7fE~fpN{wCliT$+hX zlv2?ZKze#S#EKt$KPXG#s3#cm;SWYHh>`^X+Jc}=AUTCI<+dUE_4V8(!DRi>b=D^? zSrlOU2hOVdP(a!$$UJ99Ll8c`F*oOQal-OSIUt42i&1VVxZ#^lcz#&{8rX4Qwi_NM znVsjYoxx9XTOCON35+#0_V>{3hAJT-qqw@V!CUY~iHKo;df}$QB6+dIL@2P_06+1_ zq;h!UO8Vs&b9+q5dbX?s)I?nmA_@`T|=8k;e)& zN;y}x!*bRuS0QLrO(Us+Rc@#e10Z$&-eg2rDaCzW|9hmCH-EHbZ4O62a1#$lXm$TR zLeuCstb)Uf-sDbUi_EkSqEc$5c*vdkyORk2u&I4jQb6fD)K_XI$DE6#H7$G93Au1Y zLy0T)Jc}x3_&e=W?DS^T2YUqI?w!WLYDee8Pq4=mHp2Q!NsECJHjaJ>|4Y(yNJ8B? z2sQyysQ^i5B`#$(zoI#fz?YuWEeD@;napc|QC=<~f=)FwY|0cALp>PQ&70{Ot5uk7!hm_Lyf zL?+nv6Wl`7KzW%wkZ83$U-b=!C%~%J1~7V*M-Kz|ZI%o>3*35=0v25qPXcv*wc13+ zkW-ZZ2fi~pd-}eh;LMEJ*2hn4N)J$0$MjJq?a^-T<-_|#p5@mGeoKY*vuFs11?|e< zqjaSHOgR=!XDrIQBCmkL*35aXN1}FEX7C4CGt=4#%Q_N`Qlb#oK?XuPd*u;Y| zqUin_kvh%(%rNW*h(Zw$7`MQC>3ierQh4oh(oF#thLu|i-6=y|t+TkWtlZW$hNF9QTmPIpwcQnD2M ziJ-7EAPX8s+31K6arIyp}9f3RDle|^9lVF`? zy}ZIKcf5h(VemaT3%o%~M!y^uy!Fi2<8q}kZ6D!v%6u`z3RY?f2sPF_VIRcyqw)K{3-kv_VUAi^MOCz8%X-GbJ6L zL||*GX_{^w_9=oyrUwHTLG=09!1xC>sEnj z{(|~?%R=v{IIgG$2L=m(3n+Ciae>D>5~zT#^&Pjz`fU3*9Yqez=d(r-a&IyK1SOvX zAc}Su;IHLfd12V;R#4)*#EFO0NWw|U*9o#^>fdY&7knHhEMM1 zR+LWvOzu!9I=D_eTeN(+Djqz@P~`tmQslvXd-|1fm_+V-UasWK?**9623+~>+wtzm za`&@;0&*4z)gaM;4hKzRAi{17BUvg0%q_ z2#^r)pqrr>j#gPi*%49Oz+vrvKgWT$yhCsg$H7{X67D&V!Cl$VSfmyHGQRG?a54S8 z9CI~&*<|e4acOH`woFe@*;J%iFaj^=u8E7#3x-IH5R-{SyIE>!-f^YOeUG^lt{9e} z(iGZywM7T=wZ3BgW`Lbru=#3rW-h&Bu3%DP9F#^-cjR?KfoNZ@l5tU|AmQCyY2h72)5*`TKkSM>67ap8Q`a4bWxd&-gIh0&yX-2vZyN z7@%cYt#DXsYDzhdQ$6jfjUNuJE?^9wAvu)l4|<)9y$?0wyWtiL)gQ(*)^TccfQTujmCtIeT3Y+=D4$= zfqFmrM*2KKgj&6w9XaAK=0*4gK`fSM@sF0P`lNDzparZSZy#zNYNh{tN&nXU`KFC_ zB{hQP`z6S#og_))Z!JK(N79>nF8z=>4nmiYMU15$)Q|4%ddk!tU}Sc`Ez6#6gH7UP z!&7{3I%}mAZKN0Vg#}d2bGog>fn>-B(CLol9dl&J(|UiCwFi)W-4fIo!E*Y$4;dle zkLS8sg(vvj^429vT{03;3VKRc!DuR}u^j$Zn@ z>!N)90=JE5B*M^GS~G*m?#6!I;3}@N-2ta8PUVao-|dW!al8k}GWFz}bWV4=Rm2p# z*Ofy1i>@3a8i|Qs$Ip1d-%`ryvK-+YEsVkgA?SHCIp2JWRaJmzvUUzLBw(}We`Haw zz6T;Ip#RF9o&aiUnFuzG>Gs~>Gf1z(W*_Y#FDe|C!? zr=cL0_nRLCk6uo;SsO(;wdtu3g}-#UROCaS=|@URO5*v3hKkYtKka?_Ka}nJ_t>*e zB};@#aU&7Q7Alp|2Dp1TYz z`99y*^A|ine6E*SUNhsm&g(dj^?e-Yc_ae(5yE)$#__AnaW^0LQz-|6f`TMPO1RFO zecR&&emc@0M~Xm~_SP0QBhpcB37pg@P5Vye+dc;uaMaY9ySP-^vk+S-r8wIdm?YL!HOJ}XqmcJ`(NvT#l+;wQ`)Sg!umz~+Oh;(2Cp8Afi40#O9X&J-`cM_*&!!8# z;a3y!9w~FVT&7GnZ$#b|h`N0P^5))!%x()A%3`HP1|=y@@EX0Tsi~+|JR^Q}HSIF2 z1=lJVkGQ_^b|sA?Xw%TctixjQo@>%Sh93}8EUE9UgIExtoy!UwGgy{mo;77@XlMw7 zsX2UkvjsZff!@^9HIDZ^Jl5ldR2#go>XdIQXa%&n4Y5891kiMh1%<6Tdciyw?p-+f zf;XqiZ*z)Lj_9a&!&Kn$cq`9l$1iWr>7`88I1VhP+1glH9e{7)J#mV4!oiYe%5T{K z8gaygfEuT7T3A|I3dGx(nwSh`EBkIQ4f)RnF2g~8VQ^EXrtn!fW)@UkRU)b69RIn8 zhK6)w!-)2uzI@2$<)NL4p0^G)7v#Ckw6#?tQq*W@DAIqS0@ey@b+38NwV8~SxHOcV z(~KF+QrsL?-JAhKG=!pXCL-(gA> zExGY;)(2L2A%o5SB4CZVQ|*>D4%z{?Z3clB>@P!RXH(qs&KXrL|r6@Nua2?*u5#(ixer}K(e3|fp zb`U-7*Iyy!R zEZi4g+zvW+j`iD>vfK0%RrZ=-dX!ZBaM65)Ev~QtT(sT`%@~f7(o!}Ji>l~CAD{;6 z-+2lVWYEhqSNw;e;fL?NV8NWS{=+%Z$^ZL)3&3$>eb*{o2p-}lxa7) z2iOZlE=TM&=4b@_07RMI#Kc55PhBmgmnPy+} z3hRt}$ycK*j%h^7(q(8@0Kx6yNeA3gx`_sTJ-b(=YZhJmM-X*8rBan^*h*Vhu-#Lx zjx>j`T+`9KbqoJTe%P)lthqweL9JNPNg3p!j~$S_EJZJUy`N!SOne1od%(XwfMda* zpq#^(y{!e~ePedcR)KGinMY~#b^N!$W(mz;tkPBuS3eZovcoai0r9_|cDcN)Y|wn& zTN#K+7GR-eJ?ai8CVV+&73sU)h~x~R;1TQ+T1Lk37*Z4Iy47AF2{?iPzx_P^!pKN>$L;_N*G1N6~YrN+T*_^(YE+S-P%Rrd~O zDoCYRSXl|`>n?f;oaAR*p;;==qp@wS^PSIpmxs$sO0?Jc8E=+ySv9zql$10R$E{en z&II)B+_$U@c;%yiedQyNu?=yQTBFZI)&Er~EBOak-6I<9IJ1olL*u*AeXVa!SyKu1oxk%ZrokeA!$y zx=pptD8Ghrw>{Z5X06WClNoOFp6T@4HKXj!Y;`DCrr=0r6^acQZ}ol3wePN>|R{*mNkXM)$N!PF@e z9~aI2Igo&zYxT!=v>(h(HhN)I4@k(`a2k~ocL2PRYfm0o>BZVmbYbgJ^@7*jopnme z%F3t?#Aoq)t9HtHy*?e|)nkq$K`upAcB2W{<2QJ& zGs<)p;lgp7cAkZ*=x72xBSE@}KR%`}BVV@y>d3nhxyeA_Y2q*{A8?zGG;<5!Uqf9A zXY_owV9z%gVcUJYi7zHPNc=;jTyLk0J;!yXQ9G;Y&D#gjZpSzxuMkKCdXC7nTUkq8 zr<$NaPHAs8CF^9N`U8gt_kP9=sZE{>oJ*Z?{JWwosOqeNDf+xG{OA~9{R(t!7XGCI@OVCd{tW(!BQyAs0{lxqShJaQm&ehs^ihgv z3D56X@mm)$f#BdwyrOijHiVZW8r$Om8H`aTcdCy<@)qgob$jB=XCvK3pY-X4FW%Yw*} z6)F6&2IBt33k^Oz-5XKzbV>}ank&uXS(_d&P6axmjn}c*iHRnpYxmy!1a*OQ1vj(N z&dyt40hK7R00}+{`Znpb?gj4MokKuS(74uqkrk$m_xr84N}PFPe+-R@>_(df$C8&0 zA%5M^e71-e1h)Y0jp*u(>l!hN+l-EuO7tD{qjUjM2l7&*)s@$ z#53lzc}6mWO=31gqD8tc@7Whp@9;g;oTqQ%iwK^=TaOo{Adc^z;fQ2>{Y?B87`j!; z^1owOV2(Q=DQ;kqG*v}wC>Zm5U@R1Ts`OO-)_{q+CAn=XUonLY4}ZKs{gXrL9!0P~ z`~_5xM@HL-G(J^11)$ZJmd&JSMFr``FIcjx&48Veb3Jn^-SHbtkk}r5h+k(bz;1qB zTCU)V47T%rLJZ^Qo64M?E_NaL_t2g^GVNR-+)?6DPwyLjlfgj!vZg&_3q>Q(6&=P8 znD=~rCoyeY`E_#hF6Y{i#L;ayS7uV$gE#t?f~_K6j`+BqBlVYpEF2sg_Jr6^ zhQp0DR25R>e13dsX=%wfJ+ek>X~&BzN-Av4NrU(l4AwgolcSaRKLHosr9~_PuHyde zg)BrrnomAzjDAnL4H|X~3aNsG9iz;%6Erfe>shke#jFbH~*F zs*+H{ntoCHemp>VJH5Mb*4N+~$wONWvUSWNnY**Uy#~K^x%Cmbw8aUJ!I)zTikeD` zi_?{TmsTs0xq>Z06e7lHIQyYtBHMePZ|On>fw@-WbPU$NLR((dCnBZ;ghd)~mReZeX(| zqy0A-ppL0B&oFj^*13JYS1-jb+q?Tg++;63QzIyGxU8>DOVq@)&ny4I?jaewthwBw zc&gpi@ZJy8zpj5jsdP#8BFVuQn`(lp#LN#U)0NFgNp&e;LW4~yrDP&ek5Ux@bf(K_ z;Slh<``!Zo@)GDbz67jy<}LFZa6Musk%$_vB(I=E#Ty3@fkC7}*8pRdSCakScm7Sz zfwX~M+eYZHz9=yOV@+B&g`>tQ5n1wJF@o}})zh7?TBwdtKT1oBDG_zXdFSPW6st6``zuyEwo*Xj|p8*dGHM z;vN9DPEh{I;3V3~+%yi045xL39xS1339}Ee9=$lDD}^9)cP#4m`4fycM_r#81xF7& zZ3rll2YG)GKVCm$k!ASp-O6~DlZ{5RW!ldd6ICDO2Q9FY2h{+VzjWEOFON!^+&(Z%C^*dEq4o+!?UHWhe$^N_a^XMf1Z!H<9gBS@FxYlV^#XP)XVR-Y2{iU%k zVGB<27&&XUtao(6&wDh3KiF(`J}Fjy^O(uw$4fts!;7fG|H0Fe$BEJ5v6y*-=tZa- zcBNQvzan7c(-7&asg`aGM6A)D^kqO%0)KEaaQ{5ATe|-Ym(;wT1v~eYm4UVq>c?4M zZ6kxE&ACRU0tqY6rB9P=YWUj|^hLMA`Jv%426YDYu?|Fhv&P_?;o~ex-ULp#y;N(6WIYUe@{Asw+FG ze)Z!F7A4UDmYABFni(7|G7wP@2^huybwaezAa5>t840=##H(&J0rX-vc(WZxFVp%#sthlwk{sX)>>YSRD4@!-sNni7C8bCrySVxqNVMCr07{AFSZt>@z4a&Wy~oq8&4cF%w~DvK@|m zM+k1>jF^;Vnd8)mqNVkXvcz;bG|3q3FWhQRjky{6WS?`-@V>p{8uFH(``c14{ zvD)6oV$;a3$ApgTYFJ|r8)$#cOqDL;B0Y(@8+LLdI-x73ZIfj)h8be>jI^Zf^6rzM z!YL|~U$+W>M$Wcy6AQW9-<}I}FNJJATg46Q=AIxVnqj}~Nk~YzxVsPPRhF0MsK8xE z@yQa#Mo%k}(`>``i{HnS7r^pK08>PhR2Gwsd8IAuys#an2(uiYehIx5l={J%^0GtR zQ|YVu1k`Ur`CHoyZHyI4$U^+V-)C(m{w2$WibCj3>7M_d*1a2=&gZKNzme-r zd!i6;&ONWMuNOI(=>3a)eD2RtJsJT@gM|Fl6lqy0%<5(`1Kq0qCLJ|s`zV9!TOSG4 zfIP|fE<}9$_U+CqUIhu^_P`5?ZoDP+IG=f}o!&+bnnkN;$e0QmY9Nc5|8>HDr0ST8xmf<636 zpa$e(M)ZX?7MtO@_H*HVQS)MZY-@uB*O}krKyr?i;Qj~gauXys2HPd|fSuH7fI|_i z#SGrK{MEqUGE$cr;UMN@+|L`pJ{+MQ$iku!%qlsrpmc_sDt^&gN!e@czPETAt3l^q zYu#S4ak9L^ks>|nU)CFUo>(coPI|#DE(}5pAYQiBkM0=jC~1~*k1JrT<>B}-Z<3T< zZ@dry99Dy|2Xk3o9sBZmE-$S?U!LJG3VD69w#Xn)9r%66icr930-^J#>(6Fh-5=93 zU%w`BxAXg2vKUWBw7(pCAASFI_Tm0ZEE$#dNizd{fhpS=P@3Tlp#ovlZ_A+4)WOo& zbDJMh)a~K@_{pdmK|P(WL|=9Qr}LA=HiG7Ym>9){HJuN(dH0aOz|ZC=D}_&Vkm)g) z4}rwTI@t+X^Ys(>L%1b7nSVk44?-Z1xpLsOIl%|LWr{uR?Sc@7!~^x2M>Zh*x%ehnMBu2JQCL z+UQW-Rl35r_Io6(G#5B~CjaA?t%VwsJ2fHJ^p#!Lnyx3~oGrLeJrir9Trn4`ScI2p zUYpb?isULo0+RbA{RuiA4CCnsYi#bu+MIXNpR*Psc)a)R`S3cJr!2g)HFvA5Fg0Am zRh%RJm9uiXE0J$YqJZ0Tv-?I3QHAS)1E1`P`g^lFX!z~ub0?$TUYgYC@ZFsGF_(3_ znH9H0B#ZhE`{s(|`37`khiC*2@9)NJ4R6&Gt=m0yJL4pjn>(zs@FJ@pS#=s*>W89L zny4+g<@G&$t_9oNt{xr&VOj_F^)1)NM0>mj1cJ3~T~)VFl7K@93#SmZgy3UMsA_;_ zG&f?y4#2wjRy{;CJ0D=*a{rm9z1reASIHw%Hd`;HDw@7;v~G5Me<{VKG#}w%2>^kB ztX>!Y@zgCp1L18E|G!HHWbB`)spD@h;Pc65ImRfkpSI0pm~&`#ZgH*KLI7-?(A?d% z+eF*f&z%>&-ml#ZVm1xR)KUyI#hZhRbh~6BtHSK{4b5Rd?3 zVG3rQz~QB#_%v2(wHK~Q8Fz?bCHS0s#sq<=dLYT$K`qp<)iWO*lnXno1zqn5wXu_( z8c(-1;jM^qDvwt+(=d7CZ&mWcYN>9NzK@i)2bCpwX(Dpt2N2H@a> z!>rtrm`iGNDj)!%7ZDIpq3$iPw7vp{qV~zq$jC^hf*bE83)vRjUukD|VC!$mPle3F zEfhT}zpqW!%CxT4v~IMxf$T!96H55h#@_cC6Z5fia81~i$u8t!oOQc`+xR8RI_E$8 zgaD_KSBetG&ZxmONX@9B4EdrU;1E)xWkPT{n;}lbDNM)ixRsZXfPiINz}CIx$Gt7< z4byYKQX4sRD%y9a9w(?Z9HC*A;C&7!xs(j;nT{$O$F~$$AVkn`_|sX1r`y4JRa2M| ztyZ`s*5A(oV&|Hf8IEF(c%k>_reLzj(~l>l%qz}Fv>pB$I7-jBPu6s8++(%}Me%t6 zS8l}O#;Td%s~ye+QJ70n&Mpob9`K-PVRd^n(-2)o-gx!b_M*G0xyz4{ zv*9W&Khht^XE?Wn9WIE3V_N4-LwDYbwBt(=sh44v?F&MiU_%J?YgezUN$;@?f3@Ez=D|+r_1rLXHxgU;gx1I4*iaH@jsB6_N$qBr;b>^gs8}5@7c9#T z0_(CvmO*WF=+0O4;r=$J0%oOlNa%TQF5vk%FLd{`_W3ecs~>n52<)d~D0%0m)jm_i z>|J_xNW@bPPEJ@T5iNQjp|3&N0lru}p_?6HRxUuvAJ1Q!^~b6O`AcftQmV}@Rj#k2 zPVY(z`+PYksOVXi3u+h5kz};!qH2>I-x!?OqtcX{S65ur&~*{k;64dL2iZR+pR|Cq zk{|VOAB3Rks{R`k5>^9X07s;~P?aiU8#eyXN$Ag!n+v-k3vr6T1FRLjIFKfzK|9<| zld)BwfYmtkRcL5HTmzoTK_KpqJBr((q*+q3vA!~)lR;zW9n@3G!McNhb(4b|8ZH0xeiI zekCSc2WQlig%#;#`F(#O9=5ECooox-<~F$gw3}5Nu-{^=#-Tk(<&k-$%tGcFMEDz* zn|+s&_R;we2-_*`+c!;){5nN!$aX$p8R3$SUn!Mq4Ydq*ooql$`W;;gs=hb>*8b>u z8cW?B%2I^RJ*#*A`Zsuo9J{h{*&l-}&4B;nn)?vC*-knlF%WGPP{7K9vfN1ST^&?u z8ZJYIef_Ern;vZO3O|@8&G@1K06oDt3ZHF(JAr9dMD7paw|Yf1ma~1C2P&C8k(Av; zk@FLu!w%LJg8-w-@EmDvKd_E@*rNK;r!N~Xd8CG~Zx0S!Ze9C!Uj_(u%MN%23M28o z@!^SXjbi_?QDRApT`&*uzLuESdCRPuf0cWMt`=!fR&v&9QE=1H#;^~U!?$qyH=|1G zhsqFUl{TS!xL6VRnBjsxrZS9(I7+2ls5rO_zqOv;AZ5S(2M01xtPyicmLYG4Q+Ix|2+2N6Pv~Su~TX zS*E6o7Ka_I{4AUX(&J_q_&BM5lWlTrechh4cc@#~A~0*a#k=={51i;4p8Pg1o===T6U0UGP0HN3MDsRYoVbbq zo4i7}@wnIZ`xtt4HFwG)Vf^Vr&b;5<65&#Id<3fsO9Tv5;sQ(kbn`LvszT9XWJ@*G z0A{|8XsJ`TL5pBC#?e4eTHy`w`MBFg#5nSL=0kS87CL~v?Ao}KD*)ArBrEfY!p0Yw zSD)^`v@~NG=c)Jk6-h&o72!U!REf z_#{D6xwOL?t%$D+YAe-py}zzeYD&x`-=-vxW3QafNQ{GGjET!cHwBMXw&|T~hDl$i?UAXTq~%(L)cTN>ia@mUIpu-aTiE8YqI*}LLL zbNO^dnFxlHXvIyU6%psiHnZwW%S^d~$WPDpKBp#xxMiCi=-LoiFbQ~bH$v2#s1Lf( zvG2H|OIl%0K6&MWRCgthox|}(Ro{2a*U;?$4x(fU11Hu8b;M79wSdU39CEWjf(1$H zyElvjEjwz|Euhd%8zY19i>8#KphBwMDvw&!IVsvYXr^n=EUTnZ`pg;~wcG~~l1 z^dd&m7w=79b@~1ag{cX0ggqpI0VSZC4t0x^0Fy~L`K0KW;N#iuB{e) z+?(mlG`>#M0*G-=(4TGGGsAzNdx%{@i|L-?(KD56t?d`*!? zS*s&kJ~#NrM~Uq%0Zl(D$ScKcXjb4Zaw642-J?G{_%^u6`8d1ppCEpkvLKBMiplI5 zjC%nlX$yO>jAn}@Zr)U^+sdl#!6`rf9gFarNFqJ8o;nFJ3nuhb(t%swpQ z5NU7Dt;oaB1gI-_!T0|?{!4-XQsBQ7`2T_e0nKFEjav8CX#ev?M+4Hnqkp^NmR;ok E0Xf@W{Qv*} diff --git a/gSCH/OpenI2CRadio-v1.00-p6.sch b/gSCH/OpenI2CRadio-v1.00-p6.sch index 120bd26..1d4e979 100644 --- a/gSCH/OpenI2CRadio-v1.00-p6.sch +++ b/gSCH/OpenI2CRadio-v1.00-p6.sch @@ -238,12 +238,12 @@ T 51000 40100 9 10 1 0 0 0 1 T 51500 40100 9 10 1 0 0 0 1 9 T 53900 40400 9 10 1 0 0 0 1 -1.02 +1.03 T 53900 40000 9 10 1 0 0 0 2 K.Ohta T 55900 40400 9 10 1 0 0 0 1 -Aug 14,2013 +Sep 06,2013 G 55500 40607 1400 493 0 0 0 /home/whatisthis/Bunsho/001_回路図/OpenI2CRadio/by-sa.png N 49900 47800 54300 47800 4 @@ -311,7 +311,7 @@ device=none T 41000 42600 5 10 0 1 0 7 1 value=INPUT T 40100 42500 5 10 1 1 0 0 1 -net=ANT_FM_P +net=ANT_FM_N } N 42800 42200 42800 42600 4 C 41900 42400 1 0 0 capacitor-1.sym -- 2.11.0